Инжектор и способ для длительного введения реагентов в плазму

Изобретения могут найти применение в различных отраслях машиностроения при изготовлении изделий с защитными покрытиями и относятся к области плазменной технологии нанесения покрытий, в частности, к инжекционной системе для инжектирования текучего реагента в поток плазмы, устройствам для нанесения покрытий на подложку и способу нанесения покрытий на подложку. Инжектор, используемый в упомянутых объектах, включает первую часть (6) канала для ограничения потока текучего реагента, имеющую такую форму, что внутренние стенки первой части (2) канала являются параллельными первой оси (9). Инжектор (2) имеет вторую часть канала (8), выполненную с возможностью сообщения текучего реагента с первой частью канала. Вторая часть канала (8) имеет углубление, так что внутренние стенки второй части канала (8) расходятся от первой оси (9) под заданным углом. Инжектор может дополнительно включать часть в виде наконечника, который выступает в плазму. Инжектор может быть встроен в инжекционную систему с возможностью извлечения из основания для работы в устройстве плазменного осаждения. В результате достигается однородное распределение текучего реагента и снижена вероятность закупоривания каналов при продолжительном использовании. 4 н. и 42 з.п. ф-лы, 9 ил., 1 табл.

 

Область техники

Настоящее изобретение относится к устройству и способу для инжекции флюида с целью длительной доставки и однородного распределения реагентов в плазме. В частности, настоящее изобретение касается инжектора для осаждения защитных покрытий на различные подложки, такие как стекло, кварц, металл или металлизированные материалы и пластики.

Предшествующий уровень техники

Использование поликарбонатных (PC) листов или пленок на открытом воздухе в качестве элементов остекления архитектурных сооружений и/или при изготовлении стекол автомобилей требует, чтобы поликарбонатный лист PC был защищен от абразивных воздействий. Таким образом, на поликарбонатных листах PC обычно формируется абразивно-устойчивое покрытие.

Разработано множество стандартных методик для нанесения покрытий, для создания абразивно-устойчивых покрытий, например, широко используется химическое осаждение из паровой фазы (CVD), физическое осаждение из паровой фазы (PVD) и плазменное химическое осаждение из паровой фазы (PECVD). PVD приводит к созданию твердых пленок путем подвода тепловой энергии с целью активирования исходного материала для осаждения. CVD приводит к формированию твердой пленки на поверхности подложки путем теплового активирования и поверхностной реакции газообразных реагентов, которые содержат искомые компоненты пленки. Энергия, требуемая для активирования реагентов, подается путем нагревания подложки. Для получения приемлемых скоростей реакций подложка нагревается до относительно высокой температуры, в пределах от около 500 до 2000°F. При использовании плазменного химического осаждения из паровой фазы энергию подводят к реагентам с помощью электрического разряда в газе, который образует плазму в камере осаждения. При использовании плазмы нагрева подложки больше не требуется, и на материалы с низкими температурами плавления, такие как пластики, при использовании плазменного химического осаждения покрытия могут наноситься непосредственно.

Важным компонентом указанных систем осаждения является устройство, используемое для инжекции реагентов, паров металлов и других исходных материалов в поток плазмы. Например, в заявке на патент США № 09/033,862, зарегистрированной 3 марта 1998 года (ожидающая решения), и в патенте США № 6110544, выданном 29 августа 2000 года, описано сопло-инжектор для высокоскоростного осаждения на больших площадях с использованием плазмы дугового разряда.

Обычно, ряды отверстий (также упоминающихся в качестве инжекторов), равномерно распределенных по корпусу или пластине инжектора, используются для контролируемой, однородной доставки газов или паров на какую-либо площадь. Такое устройство иногда обозначается как леечный инжектор или распределитель. Предпочтительно иметь высокое и равномерное сопротивление потоку в каждом инжекторе для обеспечения однородного распределения инжектируемого реагента по всем инжекторам.

Например, стандартный узкий инжектор с прямыми стенками изображен на фиг.2 и обозначен цифрой 20. Зачастую реагент взаимодействует с плазмой 18 вблизи выходного отверстия, такого как отверстие 22, и, таким образом, реагент осаждается в виде твердого слоя 19 не только на выходном отверстии 22, но также и вдоль внутренней стенки 24. При использовании многоканального устройства для инжекции реагентов закупоривание может происходить неравномерно для разных отверстий, и, таким образом, нарушается однородность доставки реагентов (и последующего осаждения). Кроме того, рабочие характеристики инжектора могут изменяться во времени, и частая чистка забитых инжекторов является необходимой.

Таким образом, хотя леечный инжектор и является эффективным в плане однородного распределения реагентов, он страдает общим недостатком закупоривания отверстий во время длительного экспонирования для конденсирующихся реагентов, особенно в присутствии плазмы. Это происходит из-за того, что концентрация реагентов на выходном отверстии инжектора является высокой, и из-за того, что инжектор часто находится в контакте с плазмой. Высокая концентрация реагентов в сочетании с плазменным активированием способствует осаждению твердой пленки на выходном отверстии инжектора и на внутренних стенках инжектора. В результате, инжектор со временем закупоривается. Если закупоривание разных инжекторов осуществляется неравномерно, однородность доставки материала затрудняется. Забитый инжектор необходимо очищать или заменять, что ведет к прерыванию процесса, увеличению времени простоя и стоимости работы.

Раскрытие изобретения

С учетом вышеизложенного, является желательным создание устройства для инжекции флюида в поток плазмы с однородным распределением и с пониженной вероятностью закупоривания в течение длительной эксплуатации.

Настоящее изобретение предусматривает инжекционную систему, которая включает в себя основание, содержащее инжектор. Инжектор содержит внутреннюю стенку, определяющую собой первую часть канала для ограничения потока флюида и имеющую такую форму, что внутренняя стенка первой части канала является параллельной первой оси. Инжектор также содержит внутреннюю стенку, определяющую собой вторую часть канала, с возможностью сообщения флюида с первой частью канала. Вторая часть канала включает в себя часть в виде углубления, так что внутренняя стенка второй части канала расходится от первой оси под заданным углом. Вторая часть канала ограничивает рост закупоривающего слоя на внутренней стенке второй части канала в течение периода использования. Кроме того, инжектор может дополнительно включать в себя часть в виде наконечника, который выступает в плазму.

Ввиду изложенного выше, было бы желательным также создание устройства для нанесения покрытия на подложку.

В соответствии с другим вариантом осуществления настоящего изобретения, устройство для нанесения покрытия на подложку включает в себя плазменный генератор, имеющий анод и катод, способный к формированию плазмы дугового разряда, которая перемещается в направлении подложки, камеру для размещения в ней подложки и первую инжекционную систему, расположенную между анодом и подложкой, для введения первого реагента в плазму. Первая инжекционная система содержит инжектор, который включает в себя первую часть канала для ограничения потока флюида, имеющий такую форму, что внутренние стенки первой части канала являются параллельными первой оси. Инжектор также включает в себя вторую часть канала, выполненную с возможностью сообщения флюида с первой частью канала. Вторая часть канала включает в себя часть в виде углубления, так что внутренние стенки второй части канала расходятся от первой оси под заданным углом. Вторая часть канала ограничивает рост закупоривающего слоя на внутренних стенках в течение периода использования. Кроме того, инжектор может дополнительно включать в себя часть в виде наконечника, который выступает в плазму.

Ввиду изложенного выше, было бы желательным создание способа для инжекции флюида в поток плазмы с однородным распределением и с пониженной вероятностью закупоривания в течение продолжительного использования.

В соответствии с еще одним вариантом осуществления настоящего изобретения, способ однородного нанесения покрытия на подложку включает в себя подачу первого реагента в инжекционную систему, имеющую множество инжекторов, расположенных по окружности канала для подачи флюида, где каждый из инжекторов включает в себя первую часть канала и имеет отверстие с диаметром, достаточным для ограничения потока первого реагента, и вторую часть канала, представляющую собой часть в виде углубления, за счет чего внутренние стенки второй части канала расходятся от первой оси под заданным углом, чтобы ограничить рост закупоривающего слоя, содержащего первый реагент, на внутренних стенках. Генерируется плазма дугового разряда. Первый реагент вводится в плазму, и первый реагент осаждается на поверхности подложки.

Ввиду изложенного выше, было бы желательным создание изделия, имеющего покрытие, нанесенное с использованием устройства по настоящему изобретению.

В соответствии с еще одним вариантом осуществления настоящего изобретения, изделие с покрытием, нанесенным с помощью устройства по настоящему изобретению, содержит подложку, имеющую поверхность и, по меньшей мере, одно покрытие, осажденное на его поверхность путем плазменного химического осаждения из паровой фазы.

Дополнительные особенности и преимущества настоящего изобретения, а также структура и работа различных вариантов осуществления настоящего изобретения подробно описываются ниже со ссылками на прилагаемые чертежи.

Краткое описание чертежей

Прилагаемые чертежи, включенные в описание вместе с описанием, служат для дальнейшего объяснения принципов настоящего изобретения и для того, чтобы сделать возможным для специалиста в данной области применение и использование настоящего изобретения.

Фигура 1 изображает вид в разрезе инжектора, имеющего часть в виде углубления, в соответствии с одним из вариантов осуществления настоящего изобретения.

Фигура 2 изображает вид в разрезе инжектора, имеющего канал с прямыми стенками.

Фигура 3 изображает вид в разрезе инжектора, имеющего часть в виде углубления и выступающий наконечник, в соответствии с другим вариантом осуществления настоящего изобретения.

Фигура 4 изображает вид в разрезе заменяемого инжектора, имеющего часть в виде углубления и выступающий наконечник, в соответствии с еще одним вариантом осуществления настоящего изобретения.

Фигуры 5a-5e изображают виды в разрезе различных вариантов инжекторов, в соответствии с альтернативными вариантами осуществления настоящего изобретения.

Фигура 6a изображает инжекционную систему, имеющую множество инжекторов, расположенных в узле в форме кольца, в соответствии с еще одним вариантом осуществления настоящего изобретения.

Фигуры 6bи 6c зображают альтернативные инжекционные системы, имеющие множество инжекторов, расположенных в форме плоскости и полукруга, в соответствии с альтернативными вариантами осуществления настоящего изобретения.

Фигура 7 изображает альтернативную инжекционную систему, имеющую неоднородное распределение множества инжекторов, расположенных в узле в форме кольца, в соответствии с еще одним вариантом осуществления настоящего изобретения.

Фигура 8 изображает устройство с плазмой дугового разряда, использующее инжекционную систему в соответствии с еще одним вариантом осуществления настоящего изобретения.

Фигура 9 изображает устройство с плазмой дугового разряда, имеющее плазменную камеру для генерирования плазмы и камеру осаждения, в соответствии с еще одним вариантом осуществления настоящего изобретения.

Подробное описание предпочтительных вариантов осуществления изобретения

Автор настоящего изобретения обнаружил, что обычные каналы с прямыми стенками, используемые при инжектировании флюидов, таких как газообразные реагенты, в плазму дугового разряда, подвержены сильному закупориванию. Эти проблемы закупоривания могут быть преодолены или, по меньшей мере, уменьшены, в соответствии с настоящим изобретением, путем использования инжектора, имеющего часть в виде углубления и, факультативно, часть в виде выступающего наконечника, который выступает в поток или струю плазмы.

Фиг.1 демонстрирует вид сбоку в разрезе инжектора 2, в соответствии с первым вариантом осуществления настоящего изобретения. Как изображено на фиг.1, инжектор 2 интегрально располагается в пластине или основании инжектора 4. Материал, образующий пластину или основание 4, а также внутренние стенки 3 инжектора 2, может быть любым материалом из числа материалов, применяемых в плазменной камере осаждения. Например, основание 4 может содержать корпус из нержавеющей стали или любого другого металла, такого как никель, или сплавов других металлов, таких как MONEL™ (Inco Alloys International) или HASTELLOY™ (Haynes International), которые могут выдерживать высокие рабочие температуры без плавления. Альтернативно, основание 4 может быть выполнено из керамики или аналогичного материала, способного выдерживать исключительно высокие рабочие температуры.

В данном аспекте первого воплощения настоящего изобретения, инжектор 2 интегрально формируется в основании 4. Кроме того, основание 4 может быть, в целом, планарным основанием. Альтернативно, основание 4 может быть конфигурировано в форме кольца и иметь множество инжекторов (как будет показано ниже). Кроме того, могут быть использованы и другие формы основания 4 в соответствии с настоящим изобретением, что представляется очевидным специалисту в данной области техники.

Как показано на фиг.1, инжектор 2 имеет входное отверстие 5, сформированное в поверхности 14 основания, и выходное отверстие 7, сформированное в поверхности 12 основания. Первая часть 6 инжектора 2 предпочтительно является цилиндрической по форме, так что стенки первой части 6 являются параллельными первой оси 9. Альтернативно, поперечное сечение первой части 6 инжектора 2 может иметь любую многоугольную форму. Вторая часть 8 инжектора 2 представляет собой часть в виде углубления, где стенки расходятся от первой оси 9 под заданным углом. Этот заданный угол может иметь величину в пределах от 10 градусов (по отношению к оси 9) до почти 90 градусов. В соответствии с предпочтительным вариантом осуществления, заданный угол может находиться в пределах от около 20 градусов до около 70 градусов. В настоящем варианте осуществления вторая часть 8 предпочтительно имеет коническую форму.

Третья часть 10 инжектора 2 образует выходное отверстие 7. Часть 10 может иметь цилиндрическую форму, как изображено на фиг.1, но с диаметром большим, чем у части 6. Альтернативно, поперечное сечение части 10 может иметь любую многоугольную форму. Кроме того, часть 10 альтернативно может расходиться от оси 9. Угол расхождения внутренних стенок от оси 9 может находиться в пределах от нуля градусов до около 90 градусов. Кроме того, угол расхождения части 10 может быть таким же, как угол расхождения части 8 или отличаться от него. Части 6, 8 и 10 могут быть сформированы в основании 4 с помощью обычных способов обработки, что представляется очевидным для специалиста в области рассматриваемой техники.

При работе флюид, такой как реагент, газ или пар, протекает из области 16 через отверстие 5 в инжектор 2 и покидает отверстие 7 в облаке или потоке плазмы 18. Направление потока струи 18 плазмы может быть продольным (в любом направлении), вдоль пластины 4 инжектора, или поперечным (то есть, в плоскость чертежа или из нее). Таким образом, инжектор 2 может отделять плазму от реагента при высоком давлении.

Отверстие малого диаметра, такое как канал 20, изображенный на фиг.2, может создавать высокое сопротивление потоку. Данное сопротивление потоку является полезным для однородного распределения реагентов в потоке плазмы. Однако, в отличие от обычного канала 20 (с прямыми стенками), изображенного на фиг.2, часть 8 в виде углубления инжектора 2, изображенная на фиг.1, ограничивает прямое экспонирование части 6 для плазмы.

Как было показано выше, обычный инжектор, такой как инжектор 20 на фиг.2, со временем становится чувствительным к закупориванию, при этом рост пленки 19 происходит на выходном отверстии 22 и внутренней стенке 24. Таким образом, при использовании многоинжекторного устройства закупоривание может происходить неравномерно, и однородность доставки реагента (и последующего осаждения) затрудняется.

В соответствии с другим вариантом осуществления настоящего изобретения, согласно фиг.3, инжектор 26 может включать в себя часть 8 в виде углубления и часть 28 в виде наконечника, который выступает над поверхностью 29 основания в поток 18 плазмы. Часть 28 в виде наконечника может выступать на расстояние от нескольких тысячных дюйма до десятых дюйма над поверхностью 29 и в поток плазмы. В соответствии с настоящим изобретением инжектор 26 обеспечивает улучшение диспергирования реагента в потоке плазмы 18. Части 6, 8 и 10 инжектора могут быть сконструированы подобно тем, которые были описаны выше, со ссылкой на фиг.1, где часть 6 имеет меньший диаметр, чем часть 8 в виде углубления и часть 10. Кроме того, как будет показано ниже, конструкция инжектора 26 обеспечивает более существенное уменьшение закупоривания после многократного использования по сравнению с конструкцией обычного инжектора 22, изображенного на фиг.2.

В соответствии с еще одним аспектом данного варианта осуществления настоящего изобретения, инжектор 30 может быть сконструирован с заменяемыми вставками, с различными диаметрами каналов, с различными частями в виде углублений, имеющих различные углы расхождения от первой оси, и с различными расстояниями, на которые выступает наконечник в поток плазмы. Например, как изображено на фиг.4, инжектор 30 имеет часть 8 в виде углубления и выступающую часть 28, подобно тем, которые описаны выше, он может вставляться в основание 34 инжектора и удаляться из него. Основание 34 включает в себя часть с резьбой 36, которая соответствует части 38 с резьбой инжектора 30. Альтернативно, инжектор 30 может иметь гладкую наружную поверхность, и основание 34 может быть сконструировано с получением плотной посадки, с получением соединения или фрикционного крепления с приемной частью инжектора 30, что очевидно для специалиста в области техники, к которой относится настоящее описание. Кроме того, инжектор 30 также может факультативно включать в себя расходящуюся часть 32, которая находится ниже поверхности 31 основания. Таким образом, различные типы инжекторов могут быть использованы в пластине 34 для различных применений. С такой конструкцией инжектор может быть легко адаптирован для различных процессов.

Несколько дополнительных вариантов конструкций инжекторов изображено на фигурах 5a-e. На фиг.5a инжектор 40 интегрально формируется в основании 41. Инжектор 40 включает в себя первую часть 6, которая может быть цилиндрической по форме, так что стенки первой части 6 являются параллельными оси 43. Вторая часть 42 инжектора 40 представляет собой часть в виде углубления, где стенки расходятся от цилиндрической оси под заданным углом. Необходимо отметить, что третья, в целом, цилиндрическая часть отсутствует в данной конструкции. Альтернативно, инжектор 40 может быть модифицирован и выполнен в виде заменяемой вставки в основании 41, подобной заменяемому инжектору, описанному выше со ссылкой на фиг.4.

На фиг.5b инжектор 44 интегрально формируется в основании 45. Первая часть 46 инжектора 44 представляет собой часть в виде углубления, где стенки расходятся от оси 43 под заданным углом.

Необходимо отметить, что инжектор 44 не содержит, в целом, цилиндрических частей. Альтернативно, инжектор 44 может быть модифицирован и выполнен в виде заменяемой вставки в основании 45, подобной заменяемому инжектору, описанному выше со ссылкой на фиг.4.

На фиг.5c, инжектор 48 интегрально формируется в основании 49. Первая часть 50 инжектора 48 представляет собой часть в виде углубления, где стенки расходятся от оси 43 под заданным углом. Вторая часть инжектора 48 определяется цилиндрической частью наконечника 51, которая выступает над верхней поверхностью основания 49 в плазму или поток плазмы. Подобно инжектору 26, описанному выше со ссылкой на фиг.3, часть 51 наконечника может выступать на расстояние от нескольких тысячных дюйма до десятых дюйма над верхней поверхностью пластины 49 и в поток плазмы. Альтернативно, инжектор 48 может быть модифицирован с тем, чтобы он представлял собой заменяемую вставку в основание 49, подобную заменяемому инжектору, описанному выше со ссылкой на фиг.4.

Согласно фиг.5d инжектор 37 интегрально формируется в основании 39. Инжектор 37 включает в себя первую часть 6, которая является цилиндрической по форме, так что стенки первой части 6 являются параллельными оси 43. Вторая часть 38 инжектора 37 является цилиндрической по форме, имеющей диаметр больший, чем диаметр части 6. В настоящем варианте осуществления внутренние стенки части 6 и части 38 являются параллельными друг другу.

Согласно варианту осуществления настоящего изобретения, изображенному на фиг.5e, инжектор 52 расположен под острым углом по отношению к верхней поверхности 59 основания 53. Как изображено на фиг.5e, инжектор 52 интегрально формируется в основании 53. Инжектор 52 включает в себя первую часть 55, которая является цилиндрической по форме, так что стенки первой части 55 являются параллельными оси 54, которая наклонена под острым углом по отношению к верхней поверхности 59. Угол наклона может составлять от около 10 градусов до около 70 градусов и предпочтительно составляет от около 30 градусов до около 60 градусов. Вторая часть 56 инжектора 52 представляет собой часть в виде углубления, где стенки расходятся от оси 54 под заданным углом.

Третья часть 57 инжектора 52 может быть цилиндрической по форме или может расходиться от оси 54. Часть наконечника 58 выступает над верхней поверхностью 59 основания 53 в плазму или поток плазмы. Подобно инжектору 26, описанному выше со ссылкой на фиг.3, часть наконечника 58 может выступать на расстояние от нескольких тысячных дюйма до десятых дюйма над верхней поверхностью пластины 53 и в поток плазмы. Альтернативно, инжектор 52 может быть модифицирован с тем, чтобы он представлял собой удаляемую и заменяемую вставку в основание 53, что представляется очевидным для специалиста в области техники, к которой относится настоящее описание.

На фиг.6a показан еще один вариант осуществления настоящего изобретения, инжекционная система, имеющая множество инжекторов, расположенных в узле в форме кольца. Линия 62 для подачи флюида соединяется с каналом 64 для подачи флюида, сформированным в корпусе инжекционной системы 60. Канал 64 для подачи флюида содержит множество инжекторов 70-76, которые предпочтительно равномерно распределяются по окружности канала 64. Каждый из инжекторов 70-76 может быть сконструирован в соответствии с различными вариантами инжекторов, описанными подробно выше со ссылками на фигуры 1, 3, 4 и 5a-5e. Например, один или несколько из инжекторов 70-76 могут включать в себя часть в виде углубления и факультативно выступающий наконечник для дополнительного уменьшения вероятности закупоривания. Флюид протекает из линии 62 в канал 64. Затем флюид протекает из канала 64 одновременно по нескольким направлениям, через инжекторы 70-76, в пространство 79 камеры осаждения. В данном варианте осуществления настоящего изобретения инжекционная система 60 включает в себя один или несколько инжекторов, соединенных с линией или линиями подачи реагентов, обеспечивающими доставку флюидов в плазму. Альтернативно, как будет показано ниже, инжекционная система может и не иметь форму кольца, но может быть сконструирована в виде планарного цилиндра, имеющего множество инжекторов (фиг.6b), или в форме полукруга (фиг.6c). Множество инжекторов может быть распределено по площади инжекционной пластины различной геометрии, такой как круглый диск, квадрат, прямоугольник, треугольник или трапеция, для наилучшего согласования конструкции камеры осаждения с подложками различных форм.

Согласно предпочтительному варианту осуществления плазма подается с выхода плазменного генератора 80, такого как дуга постоянного тока. Плазма может протекать в плоскость страницы или из нее, как изображено на фиг.6a.

Флюиды подаются в канал 64 через линию 62 для подачи. Кроме того, одна или несколько дополнительных линий подачи могут быть соединены с инжекционной системой 60 для подачи дополнительных флюидов, таких как реагенты и/или легирующие добавки, в поток плазмы. В соответствии с данным вариантом осуществления настоящего изобретения, выбранный флюид предпочтительно представляет собой реагент, который переносится плазмой, для взаимодействия на подложке и формирования покрытия. Конкретный используемый флюид зависит от применения, но может включать в себя, без ограничения, неорганические газы, газообразные неорганические соединения, газообразные органические соединения и газообразные металлоорганические соединения. Неорганические газы могут включать в себя элементарные газы, такие как кислород, азот и водород, испаренные металлы, такие как цинк, алюминий, индий и их соединения. Газообразные неорганические соединения могут включать в себя аммоний, силан и их соединения. Газообразные органические соединения могут включать в себя углеводороды (например, метан, бутадиен, этилбензол), органосиланы (например, гексаметилдисилан, тетраметилдисилан, винилтриэтилсилан), органосилоксаны (например, гексаметилдисилоксан, тетраметилдисилоксан, октаметилциклотетрасилоксан), органосилазаны (например, гексаметилдисилазан) и их соединения. Газообразные металлоорганические соединения могут включать в себя диэтилцинк, диметилцинк и их соединения.

Согласно предпочтительному варианту осуществления изобретения, реагенты используются для формирования абразивно-устойчивого покрытия, такого как окись алюминия (Al2O3), двуокись кремния (SiO2), алмазо-подобный углерод или покрытие из полимеризованного в плазме силоксана, на поликарбонатной подложке, как описано в ожидающей решения заявке на патент США, серийный номер 09/271,658, Charles Iacovangelo, et al., зарегистрированной 17 марта 1999 года.

Фигуры 6b и 6c изображают альтернативные инжекционные системы, имеющие множество инжекторов, расположенных планарно и в форме полукруга, соответственно, согласно альтернативным вариантам осуществления настоящего изобретения. На фиг.6b, линия 62 для подачи флюида соединяется с каналом 65 для подачи флюида, сформированным в корпусе инжекционной системы 61. Канал 65 для подачи флюида имеет, в целом, цилиндрическую форму и содержит множество инжекторов 70-74. Каждое из отверстий 70-74 может быть сконструировано в соответствии с различными вариантами инжекторов, подробно описанными выше. Например, один или несколько из инжекторов 70-74 может включать в себя часть в виде углубления и факультативно выступающий наконечник для дополнительного уменьшения вероятности закупоривания.

Альтернативно, на фиг.6c, линия 62 для подачи флюида соединяется с каналом 67 для подачи флюида, сформированным в корпусе инжекционной системы 66. Согласно данному аспекту настоящего изобретения, канал 67 для подачи флюида имеет форму полукруга и содержит множество инжекторов 70-73, сконструированных в соответствии с различными вариантами выполнения инжекторов, подробно описанными выше. Могут быть сконструированы и другие вариации и модификации относительно формы инжекционной системы в зависимости от типа инжекции флюида, требуемого для конкретного применения, что является очевидным для специалиста в области техники, к которой относится настоящее описание.

На фиг.7 показан другой вариант выполнения инжекционной системы, изображенной на фиг.6a. В данном случае инжекционная система 90 включает в себя канал 64 для подачи флюида, который соединен с линией 62 для подачи флюидов, и множество инжекторов 82-89. В данном аспекте настоящего изобретения однородность доставки флюидов в пространство 80 камеры осаждения дополнительно улучшается с помощью размещения большего количества инжекторов на стороне, противоположной линии 62 подачи (справа от оси 81 на фиг.7). В примере, изображенном на фиг.7, четыре инжектора расположены справа от оси 81, и два инжектора расположены слева от оси 81. Кроме того, индивидуальный размер инжекторов 82-89 может быть подобран таким образом, что на стороне, ближайшей к линии 62 для подачи флюида (слева от оси 81 на фиг.7), осуществляется большее ограничение флюида, чем на стороне, дальней от линии 62 подачи. В этом примере, инжекторы, расположенные справа от оси 81, изображенные на фиг.7, могут иметь входные диаметры большие, чем входные диаметры для инжекторов, размещенных слева от оси 81. Кроме того, инжекторы могут быть сконструированы в соответствии с различными вариантами выполнения инжекторов, подробно описанными выше.

На фиг.8 показан плазменный генератор 140 с дуговым разрядом, в соответствии с еще одним вариантом осуществления настоящего изобретения. Плазменный генератор 140 содержит, по меньшей мере, один катод 113, линию 117 для подачи газа в плазму и анодное сопло 119. Генератор 140 предпочтительно содержит более чем один катод 113. Преимущественно, существует три катода 113. Катоды 113 могут содержать, например, наконечники из вольфрама или из вольфрама, легированного торием. Использование тория позволяет поддерживать температуру наконечников ниже температуры плавления вольфрама, таким образом устраняя загрязнение плазмы атомами вольфрама. Катоды 113 могут поддерживаться корпусом 150 катода для изоляции каждого катода 113 от стенок опорной пластины 128 катода.

Катоды 113 предпочтительно отделяются от анодного сопла 119, по меньшей мере, одной каскадной пластинкой 126. Каскадные пластинки (пластинка) предпочтительно содержат медные диски, содержащие центральные отверстия. Диаметр центрального отверстия предпочтительно увеличивается, как линейная функция расстояния от катодов 113 до отверстия анодного сопла 119. Однако могут быть использованы и другие конфигурации.

Генератор 140 также содержит, по меньшей мере, одну линию 117 подачи плазменного газа. Факультативно, генератор 140 может также содержать линию для подачи продувочного газа, расположенную рядом с линией 117 подачи плазменного газа, для подачи продувочного газа в камеру 140 и в камеру осаждения (не показана) перед подачей плазменного газа.

Опорную пластинку 128 катода удобно прикреплять к каскадной пластинке (пластинкам) 126 и к анодному соплу 119 с помощью изолированного болта 127 или с помощью других креплений. Каскадная пластинка (пластинки) 126 является (являются) предпочтительно электрически изолированной от опорной пластинки катода 128 и анодного сопла 119 с помощью прокладок 115. Прокладки 115 могут содержать, например, кольцевые вакуумные уплотнения, кольца из поливинилхлорида и/или кольца из нитрида бора.

Плазменный разряд с высокой удельной мощностью и с высокой температурой нагревает каскадную пластинку (пластинки) 126 и анодное сопло 119. Предпочтительно, чтобы каскадная пластинка (пластинки) 126 и анодное сопло 119 содержали каналы 129 для охлаждающей воды. Предпочтительно выполнять канал 129 круговой формы во внутреннем объеме пластинки (пластинок) 126 и сопла 119. Охлаждающая вода, подающаяся через линию 169 подачи воды, протекает через канал 129, охлаждая пластинку (пластинки) 126 и сопло 119.

Плазменный генератор с дуговым разрядом 140 может также включать в себя инжекционное сопло 118, которое дополнительно содержит один или несколько входов, которые составляют собой инжекционные системы, в соответствии с предлагаемыми описанными вариантами осуществления. Предпочтительно, чтобы сопло 118 имело коническую форму с углом расхождения от около 10 градусов до около 60 градусов, предпочтительно, от около 40 градусов до около 50 градусов, и с длиной от около 10 см до около 20 см, предпочтительно, около 16 см. Однако сопло 118 может иметь переменное поперечное сечение, например, коническое-цилиндрическое-коническое или коническое-цилиндрическое. Инжекционное сопло 118 предпочтительно прикреплять к анодному соплу 119. Инжекционное сопло 118 содержит факультативную, интегральную или заменяемую, выступающую расходящуюся часть 139 для направления потока плазмы и реакционных частиц. Расположение инжекционных систем может быть выбрано в соответствии с искомой химической стехиометрией и структурой покрытия, которое должно быть нанесено на поверхность подложки с помощью плазмы.

Устройство для нанесения покрытий также содержит, по меньшей мере, одну линию для подачи реагентов. Например, три линии 112, 114, 116 для подачи реагентов, иллюстрируемых на фигуре 8, в предпочтительном варианте осуществления сообщаются с инжекционным соплом 118 и подают реагенты в плазму, протекающую через сопло 118. Однако может присутствовать одна, две, три или больше чем три линии подачи реагентов. Более того, линии подачи реагентов могут быть расположены над анодным отверстием 119 или внутри камеры осаждения, рядом с плазменным генератором.

Инжекционное сопло 118 в предпочтительном варианте осуществления содержит круговую инжекционную систему, которая включает в себя, по меньшей мере, один канал в форме кольца для подачи реагентов, соединенный с инжекторами, например, кольцевой инжектор 60, изображенный на фиг.6A. Например, линия 114 для подачи реагентов соединяется с каналом 135 для подачи реагентов, сформированным внутри корпуса инжекционного сопла 118. Канал 135 для подачи реагентов, как правило, содержит множество инжекторов 134, которые в предпочтительном варианте осуществления однородно распределены по окружности канала 135.

Кроме того, инжекторы 134 предпочтительно конструировать согласно формам инжекторов, описанным выше. Например, как изображено на фиг.8, реагент из линии 114 подачи должен протекать в канал 135 для подачи в инжектор 134. Инжектор 134 может включать в себя первую часть 6 канала, вторую часть 8 канала, которая представляет собой часть в виде углубления, имеющую расходящийся диаметр, больший, чем часть 6 канала, третью часть 10 канала и часть 28 наконечника, подобно инжектору 26, изображенному выше на фиг.3. Таким образом, реагент должен протекать из канала 135 одновременно через инжекторы 134 для введения плазмы 152 (изображенную на фиг.9), испускаемой из анодного сопла 119 в камеру осаждения, с нескольких направлений. Подобным же образом линия 116 подачи соединяется с каналом 133 и с инжекторами 132, и линия 112 подачи соединяется с каналом 131 и с инжекторами 130. В соответствии с несколькими вариантами осуществления настоящего изобретения, закупоривание инжекторов 130, 132 и 134 уменьшается.

Способ генерирования плазмы и формирования слоя на подложке 180 в дальнейшем будет описан со ссылками на фиг.9. Для формирования плазмы в генераторе 140 плазменный газ подается через линию 117 для подачи плазменного газа. Плазменный газ может, соответственно, содержать благородный газ, например, аргон или гелий, или смесь газов с такими компонентами, как азот, двуокись углерода или водород. Если присутствует более одного плазменного газа, то множество газов в случае необходимости могут подаваться через множество линий подачи. Предпочтительно, чтобы плазменный газ содержал аргон или аргон-содержащую смесь. Плазменный газ в плазменном генераторе 140 поддерживается при давлении более высоком, чем давление окружающей среды в камере 156 осаждения, которая непрерывно откачивается с помощью насоса (не показан). Затем постоянное напряжение прикладывается между катодом (катодами) 113 и анодным соплом 119, для генерирования плазмы 152 в генераторе 140. Затем плазма проходит в форме потока 152 плазмы через отверстие анодного сопла 119 в камеру осаждения, благодаря разнице давлений.

Реагент (реагенты) подается в поток плазмы через линию (линии) 112, 114 и/или 116 для подачи. Реагенты могут подаваться через линию подачи в форме газа или жидкости, которая диссоциирует в потоке плазмы. Затем покрытие может быть осаждено на подложке 180, которая опирается на опору 182 для подложки. Альтернативно, реагенты, такие как металл и полупроводниковые реагенты, могут подаваться в форме пара или испаряться из тигля, или испаряться с помощью электронного луча. Кроме того, часть плазмы может направляться на мишень для распыления, содержащую металл или полупроводник, для распыления реагента в потоке плазмы. Детали введения реагентов в плазму описаны подробно в ожидающей совместного решения заявке номер 09/271,655, папка патентного поверенного номер RD-26,345, C. Iacovangelo and K. Borst, зарегистрированной 17 марта 1999 года, включенной в настоящее описание в качестве ссылки.

Множество различных слоев может быть сформировано на различных подложках с помощью плазменного метода дугового разряда. Например, абразивно-устойчивые покрытия, покрытия для снятия поверхностных напряжений и/или покрытия ИК или УФ фильтров могут быть сформированы на таких материалах подложек, как пластик, стекло, кварц, керамика, металл и/или полупроводник. Неограничивающие примеры пластиковых подложек включают в себя поликарбонат (PC) (продается под торговой маркой LEXAN™, General Electric Company), полиэфиркарбонат (PPC), полиэфирсульфон (PES) (продается под торговой маркой "Radel®"), полиэфиримид (PET) (продается под торговой маркой ULTEM™, General Electric Company), поликарбонатные подложки, на которых нанесено твердое кремниевое покрытие (продаются под торговой маркой MR7™ и MR10™, General Electric Company). Пластиковые подложки могут быть использованы, например, как окна для транспортных средств.

Например, в порядке формирования абразивно-устойчивого слоя на подложке, линия 112 подачи может быть использована для подачи кислорода в плазменный дуговой разряд, при этом линия 114 подачи может быть использована для подачи мономерного предшественника газообразного реагента. Силоксановый реагент, такой как тетраметилдисилоксан (TMDSO), гексаметилдисилоксан (HMDSO) или октаметилциклотетрасилоксан (D4), может быть использован для осаждения обогащенного оксидом полисилоксанового слоя на подложку.

Альтернативно, металлоорганические соединения, такие как диэтилцинк (DEZ), диметилцинк (DMZ), триэтилиндий (TEI), триметилалюминий (TMA), и триэтилалюминий (TEA), и т.д., могут быть использованы в качестве источников для реагентов, которые вводятся в поток плазмы. Указанные реагенты могут вводиться в поток плазмы, например, через линию 116 подачи.

Линия 114 подачи может быть использована также для подачи других реагентов с целью формирования на подложке слоя пластика или смолы, отличных от полисилоксана. Альтернативно, линии 114 и 116 подачи могут быть использованы для подачи газов, например, кислорода, азота и водорода, неорганических соединений, таких как аммоний и силан, органических соединений, таких как углеводороды, органосиланы, органосилоксаны, органосилазаны, металлоорганические соединения, и испаренные металлы, с формированием слоя металла, полупроводника, оксида металла, нитрида металла или полимера, такого как Zn, Al, In, Si, Sn, ZnO, Al2O3, In2O3, SiO2, TiO2, Ta2O3, Nb2O3, CeO2, SnO2, Si3N4, оксинитрид кремния, индий цинк оксид, алюминий цинк оксид, индий олово оксид, алмазоподобный углерод или полимеризованный в плазме углеводород, силан или силоксан.

Плазменное устройство с дуговым разрядом в соответствии с данным вариантом осуществления настоящего изобретения является особенно привлекательным в случае изготовления автомобильных стекол методом осаждения слоев. Оно также может быть использовано при осаждении различных слоев на PC при производстве изделий с улучшенными характеристиками, позволяющими противостоять погодным условиям, в частности, окон архитектурных сооружений, фар, кабин самолетов и т.д. Кроме того, оно может быть использовано при нанесении покрытий на солнечные батареи. Кроме того, подложки с нанесенным покрытием могут быть использованы в экранах дисплеев различных устройств, таких как телевизионные экраны, жидкокристаллические экраны, плоские дисплеи, плазменные экраны, экраны компьютерных мониторов и противобликовые покрытия.

Эксперимент

Две группы экспериментов осуществляются для анализа уменьшения закупоривания инжекторов в соответствии с предпочтительным вариантом осуществления настоящего изобретения. В первой группе экспериментов, инжектор, подобный по форме заменяемому инжектору 30, изображенному на фиг.4, сравнивается с инжектором, сходным по форме с инжектором 20, изображенным на фиг.2, в течение длительного процесса инжекции. Во второй группе экспериментов, инжектор, сходный по форме с заменяемым инжектором 30, изображенным на фиг.4, используется во множестве процессов инжекции, в плазменном устройстве с дуговым разрядом, и для осажденных покрытий исследуются характеристики осаждения.

В обеих группах экспериментов плазменный генератор с дуговым разрядом, сходный с изображенным на фиг.8, используется для осуществления нескольких экспериментальных процессов нанесения покрытия. Плазменный генератор с дуговым разрядом включает в себя медный анод, отделенный от трех катодов игольчатого типа из вольфрама, легированного торием, по меньшей мере, одной электрически изолированной медной каскадной пластинкой или их рядом. Аргон (Ar) выбирают в качестве плазменного газа. Когда Ar протекает через отверстие плазменного генератора, постоянное напряжение прикладывается к электродам для генерирования плазмы.

Плазма проходит через инжекционное сопло, сходное с соплом, изображенным на фиг.8, в камеру осаждения при пониженном давлении, таким образом формируя плазменную струю.

Подложки, на которые наносится покрытие, представляют собой листы из PC MR7, размером 4×4 дюйма. Подложки промываются в изопропиловом спирте и сушатся при 80°C в проточном азоте в течение, по меньшей мере, 12 часов перед осаждением для дегазации подложек. Множество подложек устанавливается перпендикулярно оси плазменной струи в камере осаждения с помощью металлической опорной стойки. Опорная стойка располагается на расстоянии около 36 см от анода.

Кислород вводится в плазму через линию подачи реагента (например, линию 112) и инжектор реагента (например, 130), аналогичные изображенным выше на фиг.8. Такая конфигурация генерирует плазму кислород/аргон.

В первой группе экспериментов первый процесс проводится с использованием сопел конической формы с инжекторами, имеющими прямые стенки (смотри, например, фиг.2), имеющих диаметр 0,047 дюйма. Указанные инжекторы размещаются в круговой инжекционной системе, подобной инжекционной системе 60, изображенной на фиг.6A. Инжекторы доставляют тетраметилдисилоксан (TMDSO) в поток плазмы кислород-аргон для нанесения абразивно-устойчивого покрытия на PC подложки. В результате установлено, что отверстия инжекторов забиваются через общее время работы около 3 часов при скорости доставки 0,3 сл/мин (стандартный литр в минуту) паров TMDSO.

Второй эксперимент основан на схожем процессе, с использованием схожего сопла, за исключением того, что инжекторы включают в себя части в виде углублений, имеющие расходящиеся внутренние стенки и выступающие наконечники (например, фиг.4, инжектор 30). В этом процессе, первая часть 6 имеет отверстие диаметром 0,047 дюйма и длиной 0,095 дюйма, вторая часть 8 имеет угол расхождения от цилиндрической оси около 60 градусов и длину 0,015 дюйма, третья часть 10 имеет диаметр 0,102 дюйма и длину 0,075 дюйма, и наконечник инжектора выступает на 0,05 дюйма в поток плазмы, при этом диаметр наружной части составляет 0,18 дюйма. Через 8 часов непрерывной работы при условиях, подобных тем, которые были описаны выше, инжекторы во втором процессе подвергаются заметному закупориванию. Затем процессы осаждения продолжаются в течение общего рабочего времени 13 часов без заметного закупоривания. Таким образом, продолжительность непрерывной работы без закупоривания у сопел инжекторов, имеющих инжекционную систему в соответствии с предпочтительными вариантами осуществления настоящего изобретения, более чем в четыре раза больше, чем у инжекторов с отверстиями, имеющих прямые стенки.

Во второй группе экспериментов, покрытия на основе TMDSO осаждают на три листа из PC MR7, 4×4 дюйма, при условиях, сходных с теми, которые описаны выше. В этой группе экспериментов используется сопло, имеющее множество инжекторов с частями в виде углублений и выступающими наконечниками, как описано выше. Два набора образцов генерируют до (первый процесс) и после (второй процесс) 8-часовой непрерывной работы сопла-инжектора. Качество осажденных покрытий измеряется в отношении толщины, прозрачности, абразивной устойчивости по Таберу и адгезии ленты. Толщину измеряют с помощью профилометра (Dektak IIA) в пяти различных точках на подложке, с предварительно нанесенной маской. Прозрачность измеряют в терминах "матовости", которая представляет собой хорошо известную меру оптической прозрачности для окон. Измерение матовости производят с использованием колориметра Gardner model XL-835. Абразивную устойчивость по Таберу измеряют путем осуществления отсчетов матовости образца до и после абразивной обработки на Gardner Taber abraser model 5130 в течение 1000 циклов с помощью колес CS-10F, с массой по 500 г на колесо. Каждый образец измеряется в четырех различных положениях, расположенных на равных расстояниях друг от друга вдоль дорожки Табера. Увеличение матовости после исследования по Таберу упоминается как абразивная устойчивость по Таберу. Чем меньше увеличение матовости, тем лучше абразивная устойчивость по Таберу. Адгезия ленты измеряется путем вырезания углублений в покрытии с помощью перекрестного резака после осаждения, пропитывания образца в воде при 65°C до 14 дней, и исследования покрытия путем прикладывания липкой ленты к покрытию, а затем с интервалами в 3-4 дня отрывания ленты. Результаты ранжируются от 0 B до 5 B, при этом 0 B представляет собой >65% отшелушивания, и 5B представляет собой отсутствие детектируемого отшелушивания.

Результаты, приведенные в таблице 1, показывают, что свойства покрытий, полученных с помощью инжекционной системы, в соответствии с предпочтительным вариантом осуществления настоящего изобретения, остаются практически такими же по отношению к толщине, прозрачности, абразивной устойчивости по Таберу и адгезии ленты, до и после 8-часового непрерывного использования инжекторов.

Таблица 1
Рабочие характеристики покрытий, генерируемые до и после 8-часовой работы сопла-инжектора
Состояние сопла-инжектораТолщина покрытия (микрон)Начальная матовость (%)Увеличение матовости по Таберу (%) Исследование с лентой/пропитка водой
VgtDevrgtDevvgtDevдень4 день
Чистый0.7 0.33 0.76 0.14 0.1 0.8 B B
Через 8 час0.40.310.740.130.40.9BB

Кроме того, то же самое сопло-инжектор исследуется также в отношении доставки другого реагента, октаметилциклотетрасилоксана (D4), со скоростью 0,2 сл/мин паров D4 в плазму кислород-аргон. После 8 часов непрерывной работы никаких признаков закупоривания не наблюдается.

Хотя настоящее изобретение было подробно описано со ссылками на конкретные варианты его осуществления, специалисту в данной области представляется понятным, что в заявленный объект могут быть внесены изменения и модификации без отклонения от рамок настоящего изобретения.

1. Инжекционная система для инжектирования текучего реагента в поток плазмы, содержащая основание, включающее в себя инжектор, имеющий первую внутреннюю стенку, определяющую первую часть канала для ограничения потока текучего реагента и имеющую такую форму, что первая внутренняя стенка первой части канала является параллельной первой оси, причем первая часть канала имеет первый диаметр, и вторую внутреннюю стенку, определяющую собой вторую часть канала, выполненную с возможностью сообщения по текучей среде с первой частью канала и имеющую часть в виде углубления такую, что вторая внутренняя стенка второй части канала отходит от первой оси под заданным углом, при этом вторая часть канала имеет второй диаметр, который превышает первый диаметр, при этом вторая часть канала ограничивает рост закупоривающего слоя на второй внутренней стенке второй части канала в течение периода использования, при этом упомянутый инжектор выполнен с возможностью его извлечения из основания и содержит корпус, имеющий наружную стенку с резьбой, а основание содержит ответную часть с резьбой для приема корпуса инжектора, снабженного резьбой, упомянутый инжектор содержит часть наконечника, выступающую над поверхностью основания в плазму и имеющую диаметр больший, чем диаметр первой части канала, причем часть наконечника выступает над поверхностью основания на расстояние от примерно 0,001 дюйма до примерно 0,3 дюйма, и упомянутая инжекционная система содержит третью внутреннюю стенку, параллельную первой оси и определяющую собой третью часть канала, находящуюся в сообщении по текучей среде со второй частью канала и имеющую диаметр больший, чем диаметр первой части канала, при этом упомянутая третья часть канала уменьшает рост закупоривающего слоя в течение периода использования, превышающего восемь часов непрерывного протекания.

2. Инжекционная система по п.1, в которой заданный угол составляет от около 20 до около 70°.

3. Инжекционная система по п. 1, в которой инжектор интегрально формируют в основании инжектора, причем инжектор содержит входное отверстие, сформированное в первой поверхности основания инжектора, и выходное отверстие, сформированное во второй поверхности основания инжектора.

4. Инжекционная система по п.3, в которой стенки инжектора и основание инжектора содержат материал, выбранный из группы, включающей в себя металлы, сплавы и керамики, и выдерживающий высокие рабочие температуры без плавления.

5. Инжекционная система по п.4, в которой материал представляет собой нержавеющую сталь.

6. Инжекционная система по п.1, в которой текучий реагент содержит материал, выбранный из группы, включающей в себя неорганические газы, газообразные неорганические соединения, газообразные органические соединения и газообразные металлоорганические соединения.

7. Инжекционная система по п.6, в которой материал выбран из группы неорганических газов, включающей в себя кислород, азот, водород, цинк, алюминий, индий и их соединения.

8. Инжекционная система по п.6, в которой материал выбран из группы газообразных неорганических соединений, включающей в себя аммиак, силан и их соединения.

9. Инжекционная система по п.6, в которой материал выбран из группы газообразных органических соединений, включающей в себя углеводороды, органосиланы, органосилоксаны и органосилазаны и их соединения.

10. Инжекционная система по п.9, в которой материал выбран из группы газов, включающей в себя метан, бутадиен, этилбензол, гексаметилдисилан, тетраметилдисилан, винилтриэтилсилан, гексаметилдисилоксан, тетраметилдисилоксан, октаметилциклотетрасилоксан, гексаметилдисилазан и их соединения.

11. Инжекционная система по п.6, в которой материал выбран из группы газообразных металлоорганических соединений, включающей в себя диэтилцинк, диметилцинк и их соединения.

12. Инжекционная система по п.1, в которой первая часть канала имеет первый диаметр, причем часть в виде углубления определяется внутренней стенкой, которая расходится от первой оси под углом 90°, при этом внутренняя стенка, определяющая вторую часть канала, является параллельной первой оси и имеет второй диаметр больший, чем первый диаметр.

13. Инжекционная система по п.1, содержащая канал для подачи текучего реагента, сформированный внутри корпуса инжекционной системы, и множество инжекторов, размещенных в корпусе, сообщающихся по текучей среде с каналом для подачи текучего реагента.

14. Инжекционная система по п.13, в которой каждый из инжекторов дополнительно содержит часть наконечника, выступающую над поверхностью основания в плазму.

15. Инжекционная система по п.13, дополнительно содержащая линию для подачи текучего реагента, сообщающуюся по текучей среде с каналом для подачи текучего реагента, при этом канал для подачи текучего реагента выполнен в форме кольца, а инжекторы, размещенные в канале для подачи текучего реагента, равномерно распределены по окружности канала для подачи текучего реагента.

16. Инжекционная система по п.13, дополнительно содержащая линию для подачи текучего реагента, сообщающуюся по текучей среде с каналом для подачи текучего реагента, при этом канал для подачи текучего реагента выполнен в форме кольца, а инжекторы, размещенные в канале для подачи текучего реагента, неравномерно распределяются по окружности канала для подачи текучего реагента таким образом, что большее количество инжекторов располагают на той стороне канала для подачи текучего реагента, которая является дальней по отношению к линии для подачи текучего реагента.

17. Инжекционная система по п.13, дополнительно содержащая линию для подачи текучего реагента, сообщающуюся по текучей среде с каналом для подачи текучего реагента, выполненным в форме дуги полуокружности.

18. Инжекционная система по п.13, дополнительно содержащая линию для подачи текучего реагента, сообщающуюся по текучей среде с каналом для подачи текучего реагента, выполненным в форме цилиндра.

19. Инжекционная система по п.13, в которой заданный угол составляет от около 20 до около 70°.

20. Инжекционная система по п.13, в которой текучий реагент содержит материал, выбранный из группы, включающей в себя неорганические газы, газообразные неорганические соединения, газообразные органические соединения и газообразные металлоорганические соединения.

21. Инжекционная система по п.20, в которой материал выбран из группы неорганических газов, включающей в себя кислород, азот, водород, цинк, алюминий, индий и их соединения.

22. Инжекционная система по п.20, в которой материал выбран из группы газообразных неорганических соединений, включающей в себя аммиак, силан и их соединения.

23. Инжекционная система по п.20, в которой материал выбран из группы газообразных органических соединений, включающей в себя углеводороды, органосиланы, органосилоксаны и органосилазаны и их соединения.

24. Инжекционная система по п.23, в которой материал выбран из группы газов, включающей в себя метан, бутадиен, этилбензол, гексаметилдисилан, тетраметилдисилан, винилтриэтилсилан, гексаметилдисилоксан, тетраметилдисилоксан, октаметилциклоте-трасилоксан, гексаметилдисилазан и их соединения.

25. Инжекционная система по п.20, в которой материал выбран из группы газообразных металлоорганических соединений, включающей в себя диэтилцинк, диметилцинк и их соединения.

26. Устройство для нанесения покрытий на подложку, содержащее плазменный генератор, имеющий анод и катод, выполненный с возможностью формирования плазмы дугового разряда, которая перемещается в потоке плазмы к подложке, камеру для осаждения, содержащую опору для подложки, и первую инжекционную систему, расположенную между анодом и опорой подложки, для введения первого реагента в плазму, причем первая инжекционная система содержит канал для подачи текучего реагента, сформированный внутри корпуса первой инжекционной системы, и множество инжекторов, расположенных в корпусе и сообщающихся по текучей среде с каналом для подачи текучего реагента, при этом каждый из инжекторов содержит первую часть канала для ограничения потока первого реагента, имеющую такую форму, что внутренние стенки первой части канала являются параллельными первой оси, причем первая часть канала имеет первый диаметр, и вторую часть канала, сообщающуюся по текучей среде с первой частью канала, имеющую часть в виде углубления такую, что внутренние стенки второй части канала отходят от первой оси под заданным углом, при этом вторая часть канала имеет диаметр, который превышает первый диаметр, при этом вторая часть канала уменьшает рост закупоривающего слоя на внутренних стенках второй части канала в течение периода использования, и часть наконечника, выступающую над поверхностью основания инжектора в плазму, при этом упомянутое устройство содержит линию для подачи текучего реагента, сообщающуюся по текучей среде с каналом для подачи текучего реагента, при этом канал для подачи текучего реагента выполнен в форме кольца или полукольца, причем инжекторы располагаются в канале для подачи текучего реагента и равномерно распределяются вдоль канала для подачи текучего реагента, или упомянутые инжекторы неравномерно распределяются вдоль канала для подачи текучего реагента так, что большее количество инжекторов находится на той стороне канала для подачи текучего реагента, которая является дальней по отношению к линии для подачи текучего реагента, упомянутое устройство содержит вторую инжекционнуто систему в сопле, для введения второго реагента в плазму, и в упомянутом устройстве расположение первой инжекционной системы соответствует искомой химической стехиометрии и структуре покрытия, содержащего первый реагент, подлежащий нанесению на поверхность подложки с помощью плазмы.

27. Устройство по п.26, в котором анод имеет канал для плазмы и сопло, соединенное с анодом, имеющее канал сопла, простирающийся от анода, выполненный с возможностью обеспечения протекания плазмы к подложке, при этом сопло дополнительно содержит первую инжекционную систему для обеспечения введения первого реагента в плазму.

28. Устройство по п.26, в котором первый реагент содержит материал, выбранный из группы, включающей в себя неорганические газы, газообразные неорганические соединения, газообразные органические соединения и газообразные металлоорганические соединения.

29. Устройство по п.28, в котором материал выбирается из группы неорганических газов, включающей в себя кислород, азот, водород, цинк, алюминий, индий и их соединения.

30. Устройство по п.28, в котором материал выбран из группы газообразных неорганических соединений, включающей в себя аммиак, силан и их соединения.

31. Устройство по п.28, в котором материал выбран из группы газообразных органических соединений, включающей в себя углеводороды, органосиланы, органосилоксаны и органосилазаны и их соединения.

32. Устройство по п.31, в котором материал выбран из группы газов, включающей в себя метан, бутадиен, этилбензол, гексаметилдисилан, тетраметилдисилан, винилтриэтилсилан, гексаметилдисилоксан, тетраметилдисилоксан, октаметилциклотетрасилоксан, гексаметилдисилазан и их соединения.

33. Устройство по п.28, в котором материал выбран из группы газообразных металлоорганических соединений, включающей в себя диэтилцинк, диметилцинк и их соединения.

34. Устройство для нанесения покрытия на подложку, содержащее средство для генерации потока плазмы, средство для подачи первого реагента в средство инжекции для введения первого реагента в поток плазмы, причем средство инжекции содержит средство для направления первого реагента в поток плазмы и средство для ограничения закупоривания первым реагентом внутренних стенок средства инжекции, при этом средство направления первого реагента в поток плазмы содержит первую внутреннюю стенку, простирающуюся от первой поверхности основания внутрь основания и определяющую первую часть канала для ограничения потока первого реагента, причем первая часть канала имеет первый диаметр и имеет такую форму, что первая внутренняя стенка первой части канала является параллельной первой оси; и вторую внутреннюю стенку, определяющую собой вторую часть канала, выполненную с возможностью сообщения по текучей среде с первой частью канала, при этом вторая часть канала имеет второй диаметр, который превышает первый диаметр, и имеет часть в виде углубления такую, что вторая внутренняя стенка второй части канала отходит от первой оси под заданным углом, и средство для осаждения покрытия, содержащего первый реагент, на поверхность подложки.

35. Устройство по п.34, в котором средство инжекции дополнительно содержит средство для введения первого реагента в плазму таким образом, что покрытие равномерно осаждается на всех областях поверхности подложки, предназначенных для покрытия.

36. Способ нанесения покрытия на подложку, включающий подачу первого реагента в первую инжекционную систему, имеющую множество инжекторов, расположенных по окружности канала для подачи текучего реагента, генерацию плазмы дугового разряда, введение первого реагента в плазму и осаждение первого реагента на поверхности подложки, при этом каждый из инжекторов имеет канал, состоящий из двух частей, причем первая часть канала имеет диаметр отверстия, достаточный для ограничения потока первого реагента, а вторая часть канала выполнена с расхождением внутренних стенок заданным углом для уменьшения роста закупоривающего слоя первого реагента на внутренних стенках, и выступающую в направлении плазмы часть наконечника.

37. Способ по п.36, согласно которому первый реагент содержит материал, выбранный из группы, включающей в себя неорганические газы, газообразные неорганические соединения, газообразные органические соединения и газообразные металлоорганические соединения.

38. Способ по п.37, согласно которому материал выбирается из группы неорганических газов, включающей в себя кислород, азот, водород, цинк, алюминий, индий и их соединения.

39. Способ по п.37, согласно которому материал выбирается из группы газообразных неорганических соединений, включающей в себя аммиак, силан и их соединения.

40. Способ по п.37, согласно которому материал выбирается из группы газообразных органических соединений, включающей в себя углеводороды, органосиланы, органосилоксаны и органосилазаны и их соединения.

41. Способ по п.40, согласно которому материал выбирается из группы газов, включающей в себя метан, бутадиен, этилбензол, гексаметилдисилан, тетраметилдисилан, винилтриэтилсилан, гексаметилдисилоксан, тетраметилдисилоксан, октаметилциклотетрасилоксан, гексаметилдисилазан и их соединения.

42. Способ по п.37, согласно которому материал выбирается из группы газообразных металлоорганических соединений, включающей в себя диэтилцинк, диметилцинк и их соединения.

43. Способ по п.36, согласно которому заданный угол расхождения составляет от около 20 до около 70°.

44. Способ по п.36, согласно которому дополнительно подводят первый реагент в канал, выполненный в форме кольца, и от канала через равномерно распределенные инжекторы в плазму.

45. Способ по п.36, согласно которому дополнительно вводят второй реагент в плазму через вторую инжекционную систему.

46. Способ по п.45, согласно которому дополнительно вводят третий реагент в плазму через третью инжекционную систему.



 

Похожие патенты:

Изобретение относится к способу и устройству для осаждения по меньшей мере частично кристаллического кремниевого слоя на подложку и может быть использовано в различных отраслях машиностроения.

Изобретение относится к способу нанесения покрытий из тугоплавкого оксида алюминия (Al2O3) на режущие инструменты, корпус которых изготовлен из цементированного карбида, кермета, керамики или быстрорежущей стали, и может найти применение в различных отраслях машиностроения.

Изобретение относится к формированию покрытия из аморфного углерода с полимерной тенденцией на субстрат из полимерного материала, имеющего форму сосуда, который необходимо получить, такого как бутылка или флакон, с использованием плазмы, возбуждаемой посредством электромагнитных волн.

Изобретение относится к области технологии микроэлектроники, микронанотехнологии, а именно к конструкции плазмохимического реактора, в котором производятся плазмохимические процессы травления и осаждения различных материалов

Изобретение относится к металлообработке, в частности к СВЧ плазменному реактору, и может найти применение в машиностроении и металлургии при изготовлении изделий с покрытиями, полученными способом плазменного парофазного химического осаждения пленок

Изобретение относится к способу для формирования тонких пленок оксида на поверхности подложки, устройству для формирования тонких пленок (варианты) и способу мониторинга процесса формирования тонких пленок и может быть использовано при изготовлении упаковок в различных отраслях производства

Изобретение относится к способу изготовления металлической проволоки для армирования эластомерного материала, металлической проволоки и металлокорду для армирования такого эластомерного материала

Изобретение относится к СВЧ плазменным реакторам для плазмохимического синтеза вещества из газовой фазы

Изобретение относится к СВЧ плазменному реактору и может найти применение при формировании пленки большого размера, соизмеримого по диаметру с длиной СВЧ волны

Изобретение относится к технологиям получения высокотвердых защитных и функциональных покрытий и может быть использовано для покрытия поверхностей деталей машин и механизмов, трубопроводов и насосов, элементов корпусов, функциональных и несущих металлоконструкций

Изобретение относится к полимерным изделиям, имеющим тонкое покрытие, и способу его изготовления

Изобретение относится к устройству для плазменного химического парофазного осаждения пленки на поверхности полосообразной подложки и может найти применение при изготовлении дисплеев

Изобретение относится к технологиям модификации металлических поверхностей, например к технологиям азотирования, цементации, легирования и др
Наверх