Интегрированный преобразователь напряжения питания

Интегрированный преобразователь напряжения питания предназначен для эффективного преобразования напряжения питания маломощных низковольтных устройств, работающих от батарей и аккумуляторов до напряжений, меньших, чем напряжения химических источников питания. Задачей настоящего изобретения является получение технического результата, заключающегося в достижении низких выходных напряжений до 1 В и повышении КПД выше 50% при низком энергопотреблении - менее 1 мВт. Для достижения названного технического результата в схему интегрированного преобразователя напряжения питания включают: подложку, на которой выполняется схема, выходной блок, соединенный с источником питания, и формирующий выходной сигнал, блок управления, управляющий выходным блоком посредством обратной связи, блок низкочастотного фильтра, функцией которого является сглаживание выходного сигнала, получаемого с выходного блока, блок управления укомплектовывают источником опорного напряжения, делителем напряжения, генератором синхросигнала и определителем ошибок, при этом делитель напряжения преобразует сигнал от источника опорного напряжения и передает его на вход определителя ошибок, на который подается сигнал также и с выходного блока, и далее с определителя ошибок управляющий сигнал поступает на разрешающий вход генератора синхросигнала, а выходной блок выполняют на переключаемых конденсаторах в виде двух каскадов, соединенных параллельно, первый из которых содержит конденсатор (17), один р-канальный транзистор (18) и три n-канальных транзистора (19, 20, 21), второй каскад содержит конденсатор (22), р-канальный транзистор (23) и три n-канальных транзистора (24, 25, 26), при этом транзисторы каскадов выходного блока управляются двумя парафазными сигналами с генератора синхросигналов "вх1" и "вх2" таким образом, что на транзисторы (18, 20, 21) и (24) подается сигнал "вх2", а на транзисторы (19, 23, 25, 26) подается сигнал "вх1", транзисторы включены так, что в зависимости от уровня сигналов "вх1" и "вх2", который может быть либо высоким, либо низким, в момент времени, когда сигнал "вх1" высокого уровня, а сигнал "вх2" низкого уровня, ток протекает через транзисторы (18, 19) и конденсатор (17) от источника питания на выход, при этом конденсатор (17) находится в фазе зарядки, и через транзисторы (25, 26) и конденсатор (22) от общей шины на выход, при этом конденсатор (22) находится в фазе разрядки, а в момент времени, когда сигнал "вх1" низкого уровня, а сигнал "вх2" высокого уровня, ток протекает через транзисторы (20, (21) и конденсатор (17) от общей шины на выход, при этом конденсатор (17) находится в фазе разрядки, и через транзисторы (23, 24) и конденсатор (22) от источника питания на выход, при этом конденсатор (22) находится в фазе зарядки, и при этом и в фазе зарядки, и в фазе разрядки конденсаторов (17) и (22) ток течет в одном направлении на выход выходного блока. 7 ил.

 

Изобретение относится к вычислительной технике, а именно к интегральным микросхемам преобразователя напряжения питания.

Интегрированный преобразователь напряжения питания предназначен для эффективного преобразования напряжения питания маломощных низковольтных устройств, работающих от батарей и аккумуляторов, до напряжений, меньших, чем напряжения химических источников питания. Современные устройства, питающиеся от батарей, такие, как любые автономные датчики, работают при напряжениях питания менее 1 В. При этом существующие преобразователи напряжения питания не предназначены для преобразования напряжения до столь низких значений. Примером такого преобразователя является конвертер постоянного тока, использующий для преобразования литиевые батареи [1]. К сожалению, использование в [1] химических источников не позволяет получать напряжения, меньшие напряжений источников, т.е. менее 1 В.

Наиболее близким к изобретению техническим решением является схема преобразователя постоянного тока [2], включающая:

- подложку, на которой выполняется схема,

- выходной блок, соединенный с источником питания и формирующий выходной сигнал, выполняемый на группе n-канальных полевых МОП транзисторов, включенных параллельно с общими затвором, стоком и истоком,

- блок управления, управляющий выходным блоком посредством обратной связи, содержащий источник опорного напряжения, делитель напряжения, генератор синхросигнала, определитель ошибок, модулятор ширины импульса,

- блок формирователя, включенный между блоком управления и выходным блоком и воздействующий на выходной блок под действием блока управления, содержит биполярный транзистор, включающий группу n-канальных полевых МОП транзисторов выходного блока, и один n-канальный полевой МОП транзистор, выключающий группу n-канальных полевых МОП транзисторов выходного блока,

- предохранитель, отключающий схему при превышении допустимых значений напряжения, тока, температуры.

Функциональная схема преобразователя постоянного тока согласно прототипу [2] иллюстрируется на фиг.1, где 1 - блок управления, 2 - блок формирователя, 3 - выходной блок, 4 - внешний источник питания, 5 - предохранитель. На фиг.2 изображен выходной блок, а на фиг.3 - блок управления, где 6 - источник опорного напряжения, 7 - делитель напряжения, 8 - генератор синхросигнала, 9 - определитель ошибок, 10 - модулятор ширины импульса.

Недостатком технического решения, используемого в прототипе [2], является высокий диапазон выходного напряжения - 2-4 В, высокая потребляемая мощность, значение которой следует из функциональной схемы и составляет не менее 10 мВт, КПД менее 50%, поскольку выходной блок выполняется на транзисторах с использованием внешней индуктивности.

Задачей настоящего изобретения является получение технического результата, заключающегося в достижении низких выходных напряжений до 1 В и повышении КПД выше 50% при низком энергопотреблении - менее 1 мВт, за счет использования выходного блока, выполняемого на переключаемых конденсаторах, отказа в блоке управления от использования модулятора ширины импульса, а также добавления блока низкочастотного фильтра.

Общеизвестное назначение низкочастотного фильтра - сглаживание сигнала [3].

Для достижения названного технического результата в схему преобразователя постоянного тока [2], включающего:

- подложку, на которой выполняется схема,

- выходной блок, соединенный с источником питания и формирующий выходной сигнал,

- блок управления, управляющий выходным блоком посредством обратной связи, добавляют:

- блок низкочастотного фильтра, функцией которого является сглаживание выходного сигнала, получаемого с выходного блока,

- блок управления укомплектовывают источником опорного напряжения, делителем напряжения, генератором синхросигнала и определителем ошибок, при этом делитель напряжения преобразует сигнал от источника опорного напряжения и передает его на вход определителя ошибок, на который подается сигнал также и с выходного блока, и далее с определителя ошибок управляющий сигнал поступает на разрешающий вход генератора синхросигнала,

- а выходной блок выполняют на переключаемых конденсаторах в виде двух каскадов, соединенных параллельно, первый из которых содержит конденсатор 17, один р-канальный транзистор 18 и три n-канальных транзистора 19, 20, 21, второй каскад содержит конденсатор 22, р-канальный транзистор 23 и три n-канальных транзистора 24, 25, 26, при этом транзисторы каскадов выходного блока управляются двумя парафазными сигналами с генератора синхросигналов "вх1" и "вх2" таким образом, что на транзисторы 18, 20, 21 и 24 подается сигнал "вх2", а на транзисторы 19, 23, 25, 26 подается сигнал "вх1", транзисторы включены так, что в зависимости от уровня сигналов "вх1" и "вх2", который может быть либо высоким, либо низким, в момент времени, когда сигнал "вх1" высокого уровня, а сигнал "вх2" низкого уровня, ток протекает через транзисторы 18, 19 и конденсатор 17 от источника питания на выход, при этом конденсатор 17 находится в фазе зарядки, и через транзисторы 25, 26 и конденсатор 22 от общей шины на выход, при этом конденсатор 22 находится в фазе разрядки, а в момент времени, когда сигнал "вх1" низкого уровня, а сигнал "вх2" высокого уровня, ток протекает через транзисторы 20, 21 и конденсатор 17 от общей шины на выход, при этом конденсатор 17 находится в фазе разрядки, и через транзисторы 23, 24 и конденсатор 22 от источника питания на выход, при этом конденсатор 22 находится в фазе зарядки, и при этом и в фазе зарядки, и в фазе разрядки конденсаторов 17 и 22 ток течет в одном направлении на выход выходного блока.

Функциональная схема интегрированного преобразователя напряжения питания, предлагаемая в изобретении, изображена на фиг.4, где 11 - блок управления, 12 - выходной блок, 13 - низкочастотный фильтр, 4 - внешний источник питания.

Фиг.5 иллюстрирует выходной блок на переключаемых конденсаторах, состоящий из двух каскадов, где 14 - первый каскад, включающий конденсатор 17, три n-канальных МОП транзистора 19, 20 и 21 и один р-канальный МОП транзистор 18, 15 - второй каскад, включающий конденсатор 22, три n-канальных МОП транзистора 24, 25 и 26 и один р-канальный МОП транзистор 23.

На фиг.6 изображена функциональная схема блока управления, где 6 - источник опорного напряжения, 7 - делитель напряжения, 16 - генератор синхросигнала, 9 - определитель ошибок.

На фиг.7 изображена временная диаграмма тактовых сигналов вх1 и вх2, снимаемых с генератора синхросигнала 16. Сигналы "вх1" и "вх2" имеют одинаковую частоту и амплитуду, но находятся в противофазе и не перекрываются.

Устройство согласно настоящему изобретению работает следующим образом.

Делитель напряжения 7 блока управления 11 преобразует сигнал от источника опорного напряжения 6 и передает его на вход определителя ошибок 9, на который подается сигнал V вых также и с выходного блока 12, и далее управляющий сигнал с определителя ошибок 9 поступает на разрешающий вход генератора синхросигнала 16.

Транзисторы 18, 19, 20, 21, 23, 24, 25, 26 выходного блока 12 переключаются тактовыми сигналами "вх1" и "вх2" (фиг.7), получаемыми с генератора синхросигнала 16 блока управления 11. В момент времени, когда сигнал "вх1" низкого уровня, а сигнал "вх2" соответственно высокого, конденсатор 17 каскада 14 находится в фазе разрядки, а конденсатор 22 каскада 15 - в фазе зарядки. При этом конденсатор 17 включен параллельно низкочастотному фильтру 13, а конденсатор 22 включен последовательно низкочастотному фильтру 13. В момент времени, когда сигналы "вх1" и "вх2" изменяют значения уровней на противоположные, конденсатор 17 первого каскада 14 переходит в фазу зарядки и оказывается включен последовательно низкочастотному фильтру 13, а конденсатор 22 второго каскада 15 переходит в фазу разрядки и оказывается включен параллельно низкочастотному фильтру 13. Таким образом, в каждом промежутке времени между переключениями сигналов "вх1" и "вх2" конденсатор одного из каскадов заряжается, а конденсатор другого каскада разряжается, передавая накопленную в ходе фазы зарядки энергию в нагрузку, при этом и в случае зарядки, и в случае разрядки конденсаторов 17 и 22 ток течет в одном направлении на выход выходного блока. Выходной ток (ток, передаваемый в нагрузку) оказывается в два раза больше входного тока, передаваемого с источника питания, а выходное напряжение Vвых - в два раза меньше входного напряжения Vпит. Если выходное напряжение Vвых опускается ниже установленного уровня, соответствующего напряжению на делителе напряжения 7, определитель ошибок 9 выдает сигнал, включающий генератор синхросигнала 16. Если напряжение на выходе Vвых превышает напряжение на делителе напряжения 7, то определитель ошибок 9 выдает сигнал, выключающий генератор синхросигнала 16, при этом КПД интегрированного преобразователя напряжения питания определяется только током определителя ошибок.

Возможность создать интегрированный преобразователь напряжения питания с высоким КПД и малой потребляемой мощностью при низких выходных напряжениях обеспечивается выполнением выходного блока на переключаемых конденсаторах в отличие от прототипа, где выходной блок работает на внешнюю индуктивность, требующую больших токов управления. Также выигрыш КПД достигается за счет выключения генератора синхросигнала 16 и выходного блока 12 в отсутствие выходного тока.

Литература

1. Patent Application Publication No WO 2007/141722 A1.

2. United States Patent No 5610503, Mar.11, 1997.

3. Искусство схемотехники. Хоровиц П., Хилл У., М.: Мир, 1998.

Интегрированный преобразователь напряжения питания, включающий подложку, на которой выполняется схема, выходной блок, соединенный с источником питания, и формирующий выходной сигнал, блок управления, управляющий выходным блоком посредством обратной связи, отличающийся тем, что добавляют блок низкочастотного фильтра, функцией которого является сглаживание выходного сигнала, получаемого с выходного блока, блок управления укомплектовывают источником опорного напряжения, делителем напряжения, генератором синхросигнала и определителем ошибок, при этом делитель напряжения преобразует сигнал от источника опорного напряжения и передает его на вход определителя ошибок, на который подается также сигнал и с выходного блока, и далее с определителя ошибок управляющий сигнал поступает на разрешающий вход генератора синхросигнала, а выходной блок выполняют на переключаемых конденсаторах в виде двух каскадов, соединенных параллельно, первый из которых содержит конденсатор (17), один р-канальный транзистор (18) и три n-канальных транзистора (19, 20, 21), второй каскад содержит конденсатор (22), р-канальный транзистор (23) и три n-канальных транзистора (24, 25, 26), при этом транзисторы каскадов выходного блока управляются двумя парафазными сигналами с генератора синхросигналов "вх1" и "вх2" таким образом, что на транзисторы 18, 20, 21 и 24 подается сигнал "вх2", а на транзисторы 19, 23, 25, 26 подается сигнал "вх1", транзисторы включены так, что в зависимости от уровня сигналов "вх1" и "вх2", который может быть либо высоким, либо низким, в момент времени, когда сигнал "вх1" высокого уровня, а сигнал "вх2" низкого уровня, ток протекает через транзисторы 18, 19 и конденсатор 17 от источника питания на выход, при этом конденсатор 17 находится в фазе зарядки, и через транзисторы 25, 26 и конденсатор 22 от общей шины на выход, при этом конденсатор 22 находится в фазе разрядки, а в момент времени, когда сигнал "вх1" низкого уровня, а сигнал "вх2" высокого уровня, ток протекает через транзисторы (20, 21) и конденсатор (17) от общей шины на выход, при этом конденсатор (17) находится в фазе разрядки, и через транзисторы (23, 24) и конденсатор (22) от источника питания на выход, при этом конденсатор (22) находится в фазе зарядки, и при этом и в фазе зарядки, и в фазе разрядки конденсаторов (17) и (22) ток течет в одном направлении на выход выходного блока.



 

Похожие патенты:

Изобретение относится к электротехнике, в частности к электрическим машинам и может быть использовано в конструктивных сопряжениях с магнитными подшипниками. .

Изобретение относится к области электротехники и может быть использовано в источниках электропитания. .

Изобретение относится к схемотехнике электронных устройств, а именно к интегральной электронике, используемой в тех измерительных устройствах, где необходимо, чтобы ток в нагрузке не зависел от сопротивления нагрузки.

Изобретение относится к области электронной техники и может быть использовано в коммутируемых источниках питания с защитой от перегрузки по току

Изобретение относится к электротехнике, в частности к области силовой преобразовательной техники, и может быть использовано во вторичных источниках питания

Изобретение относится к защитной схеме блока электропитания установки постоянного напряжения, дающей экономичную возможность выполнения электронного предохранителя в выходном контуре регулируемого блока электропитания

Изобретение относится к электротехнике и является устройством с питанием от солнечной батареи, которое включает в себя батарею, по меньшей мере, один фотоэлектрический элемент (который может быть частью солнечного модуля, содержащего множество фотоэлектрических элементов) и DC-восприимчивое АС устройство, такое как компактная флуоресцентная лампа. Устройство с питанием от солнечной батареи может также включать в себя первый DC-DC преобразователь, который получает первый электрический сигнал от, по меньшей мере, одного фотоэлектрического элемента и обеспечивает сигнал зарядки на батарею, и второй DC-DC преобразователь, который получает второй электрический сигнал от батареи и обеспечивает DC сигнал питания постоянного тока на DC восприимчивое АС устройство. Технический результат - повышение КПД. 2 н. и 17 з.п. ф-лы, 3 ил.

Изобретение относится к электротехники. Повышающий преобразователь напряжения содержит входную цепь с дросселем в одной из ветвей, два силовых ключа, два диода, пусковой ключ с шунтирующим его резистором и два последовательно включенных выходных конденсатора. С целью повышения надежности полупроводниковых элементов и недопущения насыщения сердечника после подачи входного напряжения запуск производится в четыре этапа. Несимметричный режим работы преобразователя устраняется введением в схему двух вспомогательных ключей, управляемых двумя вспомогательными драйверами и двумя операционными усилителями (ОУ). Последовательно с каждым из вспомогательных ключей включен резистор, а каждый из ОУ содержит последовательные цепи, состоящие из диода и резистора, обеспечивая гистерезис отключения и включения вспомогательных ключей. Технический результат - повышение надёжности. 5 ил.

Изобретение относится к области электротехники и может быть использовано в цифровых системах управления преобразователями постоянного напряжения с функцией подавления опасных колебаний выходного напряжения, возникающих при определенном наборе параметров системы. Технический результат - обеспечение заданных нелинейных динамических свойств системы и заданных показателей быстродействия и точности стабилизации выходного напряжения при отказе от параметрического синтеза. В системе управления нелинейной динамикой к силовой части преобразователя подключена система управления, состоящая из основной подсистемы и вспомогательной подсистемы управления, аппроксиматоры на основе нейронных сетей. Сигнал управления преобразователем обеспечивает стабилизацию среднего значения выходного напряжения. В системе обеспечивается коррекция сигнала ошибки, тем самым обеспечивается стабилизация проектного динамического режима (1-цикла). 3 ил.

Изобретение относится к области электротехники и может быть использовано в устройствах вторичного электропитания систем радиотехники, автоматики и вычислительной техники. Технический результат - повышение надежности. Стабилизатор постоянного напряжения содержит регулирующий полевой транзистор, затвор которого шунтирован параллельной цепью из обратно включенного первого диода и первого резистора, а сток подключен к точке соединения анода переключающего диода и первого вывода дросселя, второй вывод которого подсоединен к первому входному выводу, соединенному через входной конденсатор со вторым входным выводом, объединенным с истоком регулирующего полевого транзистора и первым выходным выводом, соединенным через выходной конденсатор с точкой соединения катода переключающего диода, второго выходного вывода и первого вывода выходного делителя напряжения, второй вывод которого подсоединен к первому выходному выводу, а средняя точка - к измерительному входу блока управления, общий вывод которого подключен к первому выходному выводу, эмиттерный повторитель, выходной вывод которого подсоединен к первому выводу второго резистора. Особенностью схемы является то, что введен разделительный конденсатор, включенный между вторым выводом второго резистора и затвором полевого транзистора, первый транзистор с нагрузочным резистором в цепи коллектора и дополнительный конденсатор, включенный между выводами коллектора и эмиттера первого транзистора, база которого подключена к выходному выводу блока управления, эмиттер - к первому выходному выводу, а коллектор - к входному выводу эмиттерного повторителя. При этом в предложенном устройстве блок управления, например, может состоять из генератора импульсов, выход которого подключен к входу формирователя пилообразного напряжения и к первому входу схемы сравнения, второй вход которой подключен к выходу формирователя пилообразного напряжения, а третий вход - к выходу усилителя обратной связи, первый вход которого подсоединен к входному выводу блока управления, а второй вход - к выходу опорного элемента. В рабочем режиме при большой скважности управляющего напряжения на затворе полевого транзистора (малых длительностях отпирающих импульсов) благодаря введению дополнительного конденсатора регулирующий полевой транзистор начинает работать в линейном режиме, ограничивая уменьшение длительности управляющего напряжения, что предотвращает потерю устойчивости регулирования стабилизатором постоянного напряжения. 2 з.п. ф-лы, 3 ил.

Изобретение относится к области электротехники и может быть использовано в нагрузочных системах множественного доступа с временным разделением каналов. Техническим результатом является компенсация мощности при сниженных емкостях в схеме разъема, обеспечивающих компенсацию мощности, гарантируя при этом рабочие параметры источника питания с USB-интерфейсом. Источник питания с USB-интерфейсом содержит: USB-интерфейс, схему плавного включения и схему преобразования постоянного тока в постоянный, которые соединены последовательно. С выхода схемы преобразования постоянного тока в постоянный питание подается в нагрузочную систему множественного доступа с временным разделением каналов. Источник питания также содержит конденсатор, первый конец которого подключен между схемой плавного включения и схемой преобразования постоянного тока в постоянный, а второй конец заземлен. Указанный конденсатор используется для ограничения входного тока схемы преобразования постоянного тока в постоянный. Значение емкости конденсатора выбирают в соответствии с напряжением на конденсаторе, когда нагрузочная система множественного доступа с временным разделением каналов работает и не работает, максимальным током, допустимым для вывода USB-интерфейсом, входным напряжением схемы преобразования постоянного тока в постоянный, напряжением и током, необходимыми нагрузочной системе множественного доступа с временным разделением каналов, и периодом работы нагрузочной системы множественного доступа с временным разделением каналов. 2 н. и 6 з.п. ф-лы, 8 ил.

Изобретение относится к области электротехники и может быть использовано в источнике питания и в устройстве, содержащем источник питания. Техническим результатом является обеспечение подвода требуемой мощности даже в режиме ожидания. Источник питания содержит схему резонанса токов, выполненную с возможностью управления трансформатором; к первичной стороне которого подключен резонансный контур с коммутационным элементом, выполненным с возможностью периодически повторяемых включения и отключения, а также контроллер, выполненный с возможностью управления коммутационным элементом так, что коммутационный элемент работает в непрерывном режиме, при котором он выполняет непрерывно повторяемые включение и отключение, или в прерывистом режиме, при котором он выполняет повторяемые с перерывами включение и отключение, в соответствии с внешним сигналом, указывающим на работу в непрерывном режиме или в прерывистом режиме. Источник питания также содержит индикатор напряжения, выполненный с возможностью определения напряжения на вторичной стороне трансформатора, и коммутационный блок, выполненный таким образом, что в прерывистом режиме работы коммутационного элемента, установленном контроллером в соответствии с внешним сигналом, указывающим на работу в прерывистом режиме, и при выявлении индикатором напряжения падения напряжения ниже заданного значения первого порогового напряжения он воздействует на контроллер, обеспечивая перевод коммутационного элемента в непрерывный режим работы. 4 н. и 4 з.п. ф-лы, 8 ил.

Изобретение относится к области силовой электроники и может быть использовано, главным образов, для электропитания полупроводниковых импульсных усилителей мощности в радиопередающих устройствах радиолокационных систем. Технический результат от использования изобретения заключается в обеспечении высокой энергетической эффективности радиопередающего устройства во всем диапазоне его выходной мощности и повышения точности регулировки и компенсации амплитудных искажений, вносимых усилителем мощности. Для достижения технического результата система предусматривает ускоренный спад выходного напряжения разрядного преобразователя независимо от тока, потребляемого усилителем мощности. Система электропитания импульсного усилителя мощности содержит зарядный преобразователь напряжения, емкостной накопитель и регулируемый преобразователь напряжения, включенный между емкостным накопителем и цепью электропитания импульсного усилителя мощности, отличающаяся тем, что регулируемый преобразователь напряжения, состоящий из силовых ключей, схемы управления и выходного фильтра, выполнен с возможностью перевода его в режим реверса и возможностью стабилизации напряжения на выходе за счет обратной связи, введенной между выходным фильтром и входом схемы управления. 2 з.п. ф-лы, 5 ил.
Наверх