Способ и устройство обработки нейтральным пучком, основанные на технологии пучка газовых кластерных ионов

Изобретение относится к области обработки материалов нейтральным пучком Способ обработки поверхности заготовки содержит этапы, на которых обеспечивают камеру пониженного давления; формируют пучок газовых кластерных ионов, содержащий газовые кластерные ионы внутри данной камеры пониженного давления; ускоряют газовые кластерные ионы, чтобы сформировать пучок ускоренных газовых кластерных ионов вдоль траектории пучка внутри камеры пониженного давления; стимулируют фрагментацию и/или диссоциацию, по меньшей мере, части ускоренных газовых кластерных ионов вдоль траектории пучка посредством увеличения интервала скоростей ионов в пучке ускоренных газовых кластерных ионов; удаляют заряженные частицы из траектории пучка, чтобы сформировать ускоренный нейтральный пучок вдоль траектории пучка в камере пониженного давления; удерживают заготовку на траектории пучка; и обрабатывают, по меньшей мере, часть поверхности заготовки путем ее облучения ускоренным нейтральным пучком. Технический результат - формирование высокочистых пучков нейтральных газовых кластеров для обработки деталей. 3 н. и 37 з.п. ф-лы, 21 ил., 1 табл.

 

ОБЛАСТЬ ТЕХНИКИ, К КОТОРОЙ ОТНОСИТСЯ ИЗОБРЕТЕНИЕ

Данное изобретение относится, в общем, к способам и устройствам обработки низкоэнергетическим нейтральным пучком и, более конкретно, к способам и системам с высокочистым пучком для получения ускоренного пучка нейтральных мономеров и/или нейтральных газовых кластеров из ускоренного пучка газовых кластерных ионов. Данное изобретение также включает в себя определенные новые или улучшенные материалы и устройства, изготовленные с помощью данных материалов.

УРОВЕНЬ ТЕХНИКИ

За последнее десятилетие метод пучков газовых кластерных ионов (ПГКИ) стал известен и широко применяется для множества приложений по обработке поверхности и подповерхностного слоя. Так как газовые кластерные ионы обычно имеют большую массу, они имеют склонность двигаться с относительно низкими скоростями (по сравнению с обычными ионами), даже когда ускоряются до значительных энергий. Эти низкие скорости, в объединении с присущей им слабой связью кластеров, дают уникальные возможности обработки поверхности, которые приводят к сниженной глубине проникновения поверхности и сниженному повреждению поверхности по сравнению с обычными ионными пучками и диффузной плазмой.

Пучки газовых кластерных ионов применяют для сглаживания, травления, чистки, образования отложений, выращивания пленок или другого модифицирования широкого множества поверхностей, включая, например, металлы, полупроводники и диэлектрические материалы. В приложениях, включающих полупроводниковые и родственные полупроводникам материалы, ПГКИ применяют для очистки, сглаживания, травления, осаждения и/или выращивания пленок, включая оксиды и другие. ПГКИ также применяют для введения легирующих и деформирующих решетку атомных частиц, материалов для аморфизации поверхностных слоев и улучшения растворимости легирующих добавок в полупроводниковых материалах. Во многих случаях такие применения ПГКИ способны обеспечить лучшие результаты относительно других технологий, которые применяют обычные ионы, ионные пучки и плазму. Полупроводниковые материалы включают в себя широкое множество материалов, электрическими свойствами которых можно управлять путем введения легирующих материалов, и включают (без ограничения) кремний, германий, алмаз, карбид кремния, а также соединения, содержащие элементы III-IV групп и элементы II-VI групп. Вследствие легкости образования ПГКИ при использовании аргона (Ar) в качестве исходного газа и вследствие инертных свойств аргона, было разработано много приложений для обработки поверхностей имплантируемых медицинских устройств, таких как протезы коронарных сосудов, ортопедические протезы и другие имплантируемые медицинские устройства, с использованием ПГКИ с газообразным аргоном. В полупроводниковых приложениях множество исходных газов и смесей исходных газов применяют для формирования ПГКИ, содержащих электрические легирующие добавки и деформирующие решетку частицы, для реактивного травления, физического травления, отложения пленок, роста пленок и других полезных процессов. Известно множество практических систем для введения ПГКИ обработки в широкое множество типов поверхностей. Например, патент США 6676989 С1, выданный Kirkpatrick и др., описывает систему ПГКИ обработки, имеющую держатель образца и манипулятор, подходящие для обработки трубчатых или цилиндрических образцов, таких как сосудистые протезы. В другом примере патент США 6491800 В2, выданный Kirkpatrick и др., описывает систему ПГКИ обработки, имеющую держатели образца и манипуляторы для обработки других типов не плоских медицинских устройств, включая, например, протезы тазобедренных суставов. Другой пример, патент США 6486478 В1, выданный Libby и др., описывает автоматическую систему заряжения/разряжения подложки, подходящую для обработки полупроводниковых пластин. Патент США 7115511, выданный Hautala, описывает применение механического сканера для сканирования образца относительно не сканирующего ПГКИ. В еще одном примере патент США 7105199 В2, выданный Blinn и др., описывает применение ПКГИ обработки для улучшения адгезии лекарственных покрытий на медицинских устройствах и модифицирования скорости вымывания или высвобождения лекарства из медицинских устройств.

Хотя ПГКИ обработку успешно применяют для многих приложений, есть новые и существующие потребности применения, не полностью удовлетворенные ПГКИ или другими имеющимися методами и устройствами. Во многих случаях, хотя ПГКИ может вызывать сильное сглаживание на атомном уровне исходно частично шероховатой поверхности, конечное сглаживание, которое может быть достигнуто, часто меньше, чем требуемая гладкость, а в других случаях ПГКИ обработка может приводить к увеличению шероховатости поверхностей с умеренной гладкостью, а не к их дополнительному сглаживанию.

Также существуют другие потребности/возможности, распознанные и решенные в настоящем изобретении. В области высвобождающих лекарства, медицинских имплантантов, ПГКИ обработка была успешной при обработке поверхностей лекарственных покрытий на медицинских имплантантах, связывая покрытие с подложкой или модифицируя скорость, с которой лекарства вымываются из покрытия после имплантации пациенту. Однако было замечено, что в некоторых случаях, когда ПГКИ использовали для обработки лекарственных покрытий (которые часто очень тонкие и могут содержать очень дорогие лекарства), может происходить потеря массы лекарственного покрытия (указывая на потерю или удаление лекарства) в результате ПГКИ обработки. В особых случаях, когда происходит такая потеря (определенные лекарства и использование определенных параметров обработки), данное явление, в общем, нежелательно, и предпочтительно иметь способ, способный избегать потери массы при сохранении удовлетворительного контроля скорости выделения лекарства.

В полупроводниковых приложениях ПГКИ применяли с разными степенями успеха улучшения обработки поверхности, однако существуют возможности для улучшения. В обычной ПГКИ обработке результат часто хотя и значительно улучшается относительно предыдущих обычных технологий, еще не имеет качества, которое требуется большинством запрашивающих приложений. Например, в способах сглаживания для многих материалов конечная степень гладкости, фактически получаемая с использованием ПГКИ обработки, не всегда удовлетворяет требованиям. В приложениях, где другие материалы вводят в полупроводниковые материалы (иногда называется ПГКИ внедрение) в целях легирования, деформации решетки, и в других приложениях, таких как осаждение пленки, рост пленки и аморфизация, граница раздела между внедренным, выращенным, аморфизованным или осажденным материалом часто имеет шероховатость или неоднородность на границе между облученным слоем и нижележащей подложкой, что ухудшает оптимальные свойства ПГКИ-модифицированного слоя.

Ионы долгое время были предпочтительны для многих способов, так как их электрический заряд облегчает управление ими с помощью электростатических и магнитных полей. Это дает большую гибкость в обработке. Однако в некоторых приложениях заряд, присущий какому-либо иону (включая газовые кластерные ионы в ПГКИ), может вызывать нежелательные эффекты в обработанных поверхностях. ПГКИ имеет определенное преимущество над обычными ионными пучками в том, что газовый кластерный ион с единичным или небольшим множественным зарядом обеспечивает перенос и контроль гораздо большего массового потока (кластер может состоять из сотен или тысяч молекул) по сравнению с обычным ионом (единичный атом, молекула или молекулярный фрагмент). В частности, в случае изолирующих материалов, поверхности, обработанные с использованием ионов, часто страдают от вызванных зарядом повреждений, возникающих от резкого разряда накопленных зарядов, или появления вредных, наведенных электрическим полем напряжений в материале (опять возникающих из накопленных зарядов). Во многих таких случаях ПГКИ имеет преимущество благодаря их относительно низкому заряду на единицу массы, но в некоторых случаях это может не устранить проблему заряжения мишени. Кроме того, ионные пучки с интенсивность тока от умеренной до высокой могут страдать от значительной пространственной расфокусировки пучка, вызванной зарядом, что способствует ухудшению переноса хорошо сфокусированного пучка на длинные расстояния. Опять, из-за их меньшего заряда на единицу массы по сравнению с обычными ионными пучками, ПГКИ имеют преимущество, но они не полностью устраняют проблему переноса пространственного заряда.

Дополнительный пример необходимости или возможности возникает из того факта, что, хотя применение пучков нейтральных молекул или атомов обеспечивает преимущество в некоторых применениях обработки поверхности и в переносе пучков, свободных от объемного заряда, обычно нелегко и неэкономично получать интенсивные пучки нейтральных молекул или атомов кроме случая струй из сопел, где энергии обычно составляют порядка нескольких миллиэлектрон-вольт на атом или молекулу и, таким образом, имеют ограниченные обрабатывающие возможности.

В патенте США 4935623 для Hughes Electronics Corporation, Knauer описывает способ формирования пучков энергичных (1-10 эВ) заряженных и/или нейтральных атомов. Knauer формирует обычный ПГКИ и направляет его под скользящим углом к твердым поверхностям, таким как кремниевые пластины, которые разделяют кластерные ионы с получением прямого рассеянного пучка атомов и обычных ионов. Это приводит к интенсивному, но несфокусированному пучку нейтральных атомов и ионов, который может быть использован для обработки, или последующее электростатическое отделение ионов может быть использовано для получения пучка нейтральных атомов. Необходимость отбрасывания ПКГИ от твердой поверхности для получения диссоциации вызывает значительную проблему в технологии Knauer. В широком интервале энергии пучка ПГКИ вызывает сильное распыление ударяемой поверхности. Было ясно показано (смотри, например, Aoki, T and Matsuo, J, "Molecular dynamics simulation of surface smoothing and sputtering process with glancing-angle gas cluster ion beam", Nucl. Instr. & Meth. in Phys. Research B 257 (2007), pp. 645-648), что даже при скользящих углах, использованных Knauer, ПГКИ вызывает заметное распыление твердых веществ, и, таким образом, прямой рассеянный нейтральный пучок загрязняется распыленными ионами и нейтральными атомами и другими частицами, происходящими из твердой поверхности, использованной для рассеяния/диссоциации. Во множестве приложений, включая применение для обработки медицинских устройств и применение для обработки полупроводников, присутствие такого распыленного материала, загрязняющего прямой рассеянный пучок, делает его непригодным для использования.

В патенте США 7060989 Swenson и др. предлагают использовать газовую ячейку, имеющую давление газа более высокое, чем давление генерации пучка, чтобы модифицировать распределение энергии газовых кластерных ионов в ПГКИ. Данная технология снижает энергию газовых кластерных ионов в ПГКИ и изменяет некоторые параметры обработки поверхности таким модифицированным ПГКИ. Такая газовая модификация распределения энергии газовых кластерных ионов ПГКИ является полезной, но не снижает проблем, вызванных зарядами, осажденными в заготовке ионами в ПГКИ, и не решает определенных проблем обработки, как, например, потеря массы лекарственного покрытия во время ПГКИ обработки. Хотя технология Swenson и др. может улучшать параметры конечного сглаживания поверхности ПГКИ, результат все еще меньше, чем идеальный.

Размеры газовых кластеров и газовых кластерных ионов обычно выражаются в виде N, числа атомов и молекул (в зависимости от того, является ли газ атомарным или молекулярным, включая такие варианты, как ионы, мономеры, димеры, тримеры, лиганды), составляющих отдельный кластер. Считается, что многие преимущества, свойственные обычной ПГКИ обработке, происходят от низких скоростей ионов в ПГКИ и от того факта, большие, слабосвязанные кластеры распадаются при столкновении с твердой поверхностью, вызывая кратковременный нагрев и давление, но без избыточного проникновения, имплантации или повреждения подложки под поверхностью. Воздействия таких больших кластеров (имеющих N мономеров - как определено выше - порядка нескольких тысяч или больше) обычно ограничиваются несколькими десятками ангстрем. Однако было показано, что меньшие кластеры (имеющие N порядка от нескольких сотен приблизительно до тысячи) вызывают большее повреждение ударяемой поверхности и способны создавать отдельные ударные кратеры на поверхности (смотри, например, Houzumi, H., et al. "Scanning tunneling microscopy observation of graphite surfaces irradiated with size-selected Ar cluster ion beams", Jpn. J. Appl. Phys. V44(8), (2005), p 6252 ff). Этот эффект образования кратеров может вызывать шероховатость и удалять материал с поверхностей (травить) в нежелательном соревновании с эффектами сглаживания поверхности большими кластерами. Во многих других приложениях обработки поверхности, для которых обнаружили полезность ПГКИ, считается, что воздействия больших газовых кластерных ионов и меньших газовых кластерных ионов могут соревноваться противоположным образом, снижая параметры обработки. К сожалению, все легко применимые технологии формирования ПГКИ приводят к генерации пучков, имеющих широкое распределение размеров кластеров, имеющих размер N в диапазоне от приблизительно 100 до нескольких десятков тысяч. Часто середина и/или пик распределения размера лежит в интервале от нескольких сотен до нескольких тысяч, с хвостом распределения, постепенно снижающимся до нуля на краях распределения. Распределение размера кластерных ионов и средний размер кластера NMean, связанный с распределением, зависят от применяемого исходного газа и могут существенно зависеть от выбора параметров сопла, применяемого для формирования кластерной струи, перепада давления по соплу и температуры сопла, все согласно обычным технологиям образования ПГКИ. Большинство коммерческих инструментов ПГКИ обработки обычным образом использует магнитные или, иногда, электростатические разделители размера, чтобы удалять самые маленькие ионы и кластеры (мономеры, димеры, тримеры и др. вплоть до N=10 или больше), которые являются наиболее вредными. Такие фильтры часто называют "фильтрами мономеров", хотя обычно они также удаляют немного большие ионы, как и мономеры. Определенные электростатические делители размера кластерных ионов (как, например, делитель, используемый в патенте США 4935623, выданном Knauer) требуют помещения решеток электрических проводников в пучок, что вызывает сильное неудобство из-за потенциальной эрозии данных решеток пучком, вызывающей загрязнение пучка при снижении надежности и приводящей к необходимости дополнительного обслуживания данного устройства. По этой причине, фильтры мономеров и низких масс сейчас обычно являются фильтрами магнитного типа (смотри, например, патент США 6635883 для Torti et al. и патент США 6486478 для Libby et al.). Помимо самых маленьких ионов (мономеров, димеров и др.), которые эффективно удаляются магнитными фильтрами, оказывается, что большинство ПГКИ содержат мало или не содержат газовые кластерные ионы размерами ниже приблизительно N=100. Возможно такие размеры трудно формировать или после образования они нестабильны. Однако кластеры в интервале от N=100 до нескольких сотен, по-видимому, присутствуют в пучках большинства коммерческих устройств ПГКИ обработки. Обычно встречаются величины NMean в интервале от нескольких сотен до нескольких тысяч при использовании обычных технологий. Так как для заданного ускоряющего потенциала кластеры промежуточного размера перемещаются гораздо быстрее, чем большие кластеры, они наиболее вероятно вызывают кратеры, грубые границы раздела и другие нежелательные эффекты, и вероятно способствуют менее идеальной обработке, когда присутствуют в ПГКИ.

Следовательно, целью данного изобретения является обеспечить устройство и способы для формирования высокочистых пучков нейтральных газовых кластеров для обработки деталей.

Дополнительной целью данного изобретения является обеспечить устройство и способы для получения высокочистых пучков газовых кластеров, которые, по существу, свободны от кластеров промежуточного размера.

Еще одной целью данного изобретения является обеспечить устройство и способы для формирования высокочистых фокусированных интенсивных пучков нейтральных атомов и молекул с энергиями в интервале от приблизительно 1 эВ вплоть до нескольких тысяч эВ.

Еще одной целью данного изобретения является обеспечить устройство и способы для формирования пучков, способных к улучшенному сглаживанию поверхности по сравнению с обычными ПГКИ.

Целью данного изобретения является обеспечить устройство и способы для формирования легированных и/или деформированных пленок и/или для введения посторонних атомных частиц в поверхности полупроводников или других материалов, где обработанные поверхности имеют границы раздела с нижележащим материалом подложки, которые превосходят границы раздела, образованные с использованием обычной ПГКИ обработки.

Другой целью данного изобретения является обеспечить устройство и способы для формирования аморфных областей на поверхности полупроводников или других материалов, используя нейтральный пучок, где граница раздела с нижележащим материалом подложки превосходит границу раздела, образованную с использованием обычной ПГКИ обработки.

Дополнительной целью данного изобретения является обеспечить устройство и способы для травления поверхностей с прекрасной конечной гладкостью по сравнению с обычной ПГКИ обработкой.

Еще одной целью данного изобретения является обеспечить устройство и способы для формирования и/или роста пленок на поверхностях полупроводников и/или других материалов, имеющих границы раздела с нижележащим материалом подложки, которые превосходят границы раздела, образованные с использованием обычной ПГКИ обработки.

Другой целью данного изобретения является обеспечить устройство и способы для обработки лекарственных покрытий на вымывающих лекарства медицинских устройствах, чтобы регулировать параметры скорости вымывания лекарства с пониженной потерей массы лекарства по сравнению с обработкой обычными ПГКИ.

Дополнительной целью данного изобретения является обеспечить устройство и способы для обработки электроизолирующих материалов нейтральными пучками газовых кластеров и/или мономеров для обработки таких материалов без повреждения, вызываемого пучком, переносящим электрические заряды.

Еще одной целью данного изобретения является обеспечить устройство и способы для получения мелко легированных слоев в полупроводниковых подложках путем имплантации высокочистых пучков нейтральных мономеров, содержащих легирующие атомы, где данные легированные слои имеют гораздо более гладкие границы раздела с нижележащим полупроводниковым материалом по сравнению с границами раздела, получаемыми с помощью обычных способов ПГКИ легирования.

РАСКРЫТИЕ ИЗОБРЕТЕНИЯ

Установленные выше цели, а также дополнительные и другие цели и преимущества настоящего изобретения достигаются описанным ниже изобретением.

Один вариант осуществления настоящего изобретения обеспечивает способ обработки поверхности заготовки, содержащий этапы: обеспечения камеры пониженного давления; формирования пучка газовых кластерных ионов, содержащего газовые кластерные ионы внутри данной камеры пониженного давления; ускорения газовых кластерных ионов с формированием пучка ускоренных газовых кластерных ионов вдоль траектории пучка внутри камеры пониженного давления; стимуляции фрагментации и/или диссоциации, по меньшей мере, части ускоренных газовых кластерных ионов вдоль данной траектории пучка; удаления заряженных частиц из данной траектории пучка с формированием ускоренного нейтрального пучка вдоль траектории пучка внутри камеры пониженного давления; удерживания заготовки в траектории пучка; и обработки, по меньшей мере, части поверхности данной заготовки путем ее облучения ускоренным нейтральным пучком.

Этап удаления может удалять, по существу, все заряженные частицы из траектории пучка. Нейтральный пучок может быть, по существу, свободным от кластеров промежуточного размера. Данный нейтральный пучок может состоять, по существу, из газа от пучка газовых кластерных ионов. Этап стимуляции может включать в себя повышение ускоряющего напряжения на этапе ускорения или улучшение эффективности ионизации при формировании пучка газовых кластерных ионов. Этап стимуляции может включать в себя увеличение интервала скоростей ионов в ускоренном пучке газовых кластерных ионов. Этап стимуляции может включать в себя введение одного или нескольких газообразных элементов, используемых при формировании пучка газовых кластерных ионов, в камеру пониженного давления, чтобы увеличить давление вдоль траектории пучка. Этап стимуляции может включать в себя увеличение размера диафрагмы отсекателя, используемой на этапе формирования пучка газовых кластерных ионов. Этап стимуляции может включать в себя облучение пучка ускоренных газовых кластерных ионов или нейтрального пучка лучистой энергией. Нейтральный пучок, обрабатывающий, по меньшей мере, часть поверхности заготовки, может содержать значительное число мономеров, имеющих энергию от 1 эВ до нескольких тысяч эВ. Данный способ может дополнительно содержать этап перестановки заготовки в держателе заготовки, чтобы обрабатывать множество частей поверхности. Данный способ может дополнительно содержать этап сканирования заготовки в держателе заготовки, чтобы обрабатывать протяженные участки поверхности. Этап облучения может облучать заготовку заданной дозой. Этап удерживания может вводить заготовку, которая содержит любое из: медицинского устройства или компонента; медицинского инструмента; медицинского устройства или компонента, имеющего лекарственное покрытие; вымывающего лекарство медицинского устройства или компонента; полупроводникового материала; металлического материала; пластикового материала; керамического материала; электрического устройства; оптического устройства; устройства с интегральной микросхемой; электроизолирующего материала; материала с высоким электрическим сопротивлением; или микроэлектрического механического системного устройства.

Этап удаления может отклонять заряженные частицы с траектории пучка, используя электрическое или магнитное поле. Этап удаления может отклонять заряженные частицы с траектории пучка, используя отклоняющую пластину или электростатическое зеркало. Этап удаления может использовать напряжение смещения, чтобы отклонять заряженные частицы с траектории пучка, и может дополнительно содержать этапы измерения тока пучка в месте заготовки при неработающем напряжении смещения и регулировки напряжения смещения до достижения нулевого измеренного тока пучка.

Данный способ может дополнительно содержать этапы: обеспечения датчика тепловой энергии; и применения датчика тепловой энергии, чтобы характеризовать ускоренный нейтральный пучок. Характеризация пучка датчиком тепловой энергии может быть использована, чтобы контролировать дозу облучения.

Другой вариант осуществления настоящего изобретения обеспечивает устройство для получения ускоренного нейтрального пучка, содержащее: вакуумную камеру; средство генерации пучка газовых кластерных ионов внутри вакуумной камеры; средство ускорения пучка газовых кластерных ионов для формирования пучка ускоренных газовых кластерных ионов вдоль траектории пучка; средство стимуляции фрагментации или, по меньшей мере, частичной диссоциации газовых кластеров в пучке ускоренных газовых кластерных ионов с получением ускоренных нейтральных газовых кластеров и/или газовых мономеров; и средство удаления заряженных частиц с траектории пучка с формированием ускоренного нейтрального пучка вдоль траектории пучка, где нейтральный пучок содержит ускоренные нейтральные газовые кластеры и/или ускоренные нейтральные газовые мономеры.

Данное устройство может дополнительно содержать средство удерживания заготовки для перестановки заготовки, чтобы облучать множество частей поверхности заготовки ускоренным нейтральным пучком. Данное средство удерживания заготовки может дополнительно содержать средство сканирования для сканирования заготовки сквозь траекторию пучка, чтобы облучать увеличенную часть поверхности заготовки ускоренным нейтральным пучком.

Средство удаления может удалять заряженные частицы, используя электрическое поле или магнитное поле, чтобы отклонять заряженные частицы. Ускоренный нейтральный пучок может состоять, по существу, из нейтральных газовых мономеров. Средство генерации пучка газовых кластерных ионов может содержать средство ионизации газовых кластеров путем электронной бомбардировки, а средство стимуляции может содержать средство управления данным средством ионизации, чтобы обеспечивать условия интенсивной ионизации, что создает высокую долю многократно ионизованных газовых кластеров в пучке газовых кластерных ионов. Средство стимуляции может содержать любое из: средства обеспечения фонового газа для столкновения с пучком газовых кластерных ионов; средства нагрева ускоренного пучка газовых кластерных ионов; средства облучения ускоренного пучка газовых кластерных ионов; средства для пересечения пучка газовых кластерных ионов с газовой струей; или средства для возбуждения пучка газовых кластерных ионов электронами. Средство стимуляции может стимулировать эволюцию нейтральных мономеров из ускоренных газовых кластерных ионов.

Данное устройство может дополнительно содержать средство для удерживания заготовки и ее размещения в траектории пучка так, чтобы облучать, по меньшей мере, часть поверхности заготовки ускоренным нейтральным пучком. Средство для удерживания заготовки может быть приспособлено для удерживания заготовки, которая может представлять собой любую из: заготовки полупроводниковой пластины; заготовки медицинского устройства или компонента; заготовки медицинского устройства или компонента, имеющего лекарственное покрытие; заготовки медицинского инструмента или компонента; электроизолирующей заготовки; заготовки материала с высоким электрическим сопротивлением; металлической заготовки; пластиковой заготовки; керамической заготовки; заготовки оптического устройства; заготовки электрического устройства; или заготовки микроэлектрической механической системы.

Данное устройство может дополнительно содержать средство для регулировки дозиметрии нейтрального пучка. Средство регулировки дозиметрии нейтрального пучка может содержать средство термического измерения для измерения нагрева, вызываемого пучком. Средство регулировки дозиметрии нейтрального пучка может содержать средство захвата и измерения электрического тока в, по меньшей мере, образце заряженных частиц, удаляемых из пучка. Средство термического измерения может быть приспособлено измерять вызываемый пучком нагрев вдоль траектории пучка.

Еще один вариант осуществления настоящего изобретения обеспечивает изделие, имеющее участок, улучшенный путем облучения ускоренным нейтральным пучком, полученным из ускоренного пучка газовых кластерных ионов. Данный участок, улучшенный путем облучения, может быть очищенным, сглаженным, стерилизованным, шлифованным, травленным, имплантированным, легированным, модифицированным, сделанным более или менее смачиваемым, сделанным более или менее биологически активным или совместимым путем облучения. Данное изделие может содержать любое из: медицинского устройства или компонента; биологического материала; медицинского инструмента; медицинского устройства или компонента, имеющего лекарственное покрытие; медицинского устройства или компонента, выделяющего лекарство; полупроводникового материала; металлического материала; пластикового материала; керамического материала; электрического устройства; оптического устройства; устройства с интегральной микросхемой; электроизолирующего материала; материала с высоким электрическим сопротивлением; или микроэлектрического механического системного устройства. Данное изделие может дополнительно содержать полупроводниковый материал, имеющий слой, образованный на полупроводниковом материале, и границу раздела, расположенную между ними, где данная граница раздела имеет гладкость атомарного уровня, где данный слой сформирован путем облучения нейтральным пучком, образованным из газовых мономеров, полученным из пучка газовых кластерных ионов. Данное изделие может дополнительно содержать монокристаллический полупроводниковый материал, имеющий аморфный поверхностный слой и границу раздела, расположенную между монокристаллическим полупроводниковым материалом и аморфным слоем, где данная граница раздела имеет гладкость атомарного уровня, где данный слой сформирован путем облучения нейтральным пучком, образованным из газовых мономеров, полученным из пучка газовых кластерных ионов. Данное изделие может дополнительно содержать подложку и углеродный слой, осажденный прямо на подложке, где углеродный слой сформирован путем облучения нейтральным пучком, образованным из газовых мономеров, полученным из пучка газовых кластерных ионов. Данный углеродный слой может быть алмазоподобным углеродом.

Настоящее изобретение обеспечивает способ с пучком высокой чистоты и систему для получения из ускоренного пучка газовых кластерных ионов пучка ускоренных нейтральных газовых кластеров и/или предпочтительно мономеров, которые могут применяться для множества типов обработки поверхностей и мелких подповерхностных слоев материалов, которые способны обеспечивать, для множества приложений, прекрасные свойства по сравнению с обычной ПГКИ обработкой. Оно может обеспечивать хорошо фокусированные ускоренные интенсивные пучки нейтральных мономеров с частицами, имеющими энергии в интервале от приблизительно 1 эВ вплоть до нескольких тысяч эВ. В этом интервале энергий нейтральные частицы могут быть выгодными или необходимыми во многих приложениях, например, когда желательно разрушать связи поверхности или мелкого подповерхностного слоя, чтобы облегчить очистку, травление, сглаживание, осаждение, аморфизацию или производить эффекты химии поверхности. В таких случаях часто могут быть полезны энергии от приблизительно одного эВ до нескольких тысяч эВ на частицу. Это интервал энергий, в котором было нереально формировать интенсивные нейтральные пучки с помощью простого, относительно недорогого устройства. В различных вариантах осуществления ускоренный нейтральный пучок применяют для разных обработок поверхности и мелких подповерхностных слоев материалов, и получают улучшенные материалы и устройства с помощью таких способов обработки.

Эти ускоренные нейтральные пучки генерируют сначала путем формирования обычных ускоренных ПГКИ, затем частично или, по существу, полностью вызывают их диссоциацию с помощью способов и рабочих условий, которые не вводят примеси в пучок, затем отделяют оставшиеся заряженные части пучка от нейтральной части, и потом используют полученный ускоренный нейтральный пучок для обработки заготовки. В зависимости от степени диссоциации газовых кластерных ионов, полученный нейтральный пучок может представлять собой смесь нейтральных газовых мономеров и газовых кластеров, или может, по существу, состоять полностью или почти полностью из нейтральных газовых мономеров. Предпочтительно, когда ускоренный нейтральный пучок представляет собой пучок, по существу, полностью диссоциированных нейтральных мономеров.

Преимущество нейтральных пучков, которые могут быть получены с помощью способов и устройства данного изобретения, заключает в том, что их можно использовать для обработки электроизолирующих материалов без повреждения материала из-за заряжения поверхностей таких материалов пучком, переносящим заряды, как обычно происходит для всех ионизированных пучков, включая ПГКИ. Например, в полупроводниковых и других электронных приложениях, ионы часто способствуют повреждению или деструктивному заряжению тонких диэлектрических пленок, таких как оксиды, нитриды и др. Применение нейтральных пучков может позволять успешную обработку пучками полимерных, диэлектрических и/или других электроизолирующих материалов или материалов с высоким сопротивлением, а также покрытий и пленок в других приложениях, где ионные пучки могут вызывать неприемлемые побочные эффекты из-за заряжения поверхности или других эффектов заряжения. Примеры включают (без ограничения) получение ингибирующих коррозию покрытий и сшивание облучением и/или полимеризацию органических пленок. В других примерах нейтральный пучок, вызывающий модификации полимерных или других диэлектрических материалов (например, стерилизацию, сглаживание, улучшение биосовместимости поверхности и улучшение прикрепления и/или регулирования скоростей вымывания лекарств), может позволять использовать такие материалы в медицинских устройствах для имплантации и/или других медицинских/хирургических приложений. Дополнительные примеры включают в себя обработку нейтральным пучком поверхностей стеклянного, полимерного и керамического лабораторного оборудования для биокультур и/или отбора образцов окружающей среды, где такие пучки могут быть использованы, чтобы улучшать свойства поверхности, такие как, например, шероховатость, гладкость, гидрофильность и биосовместимость.

Так как исходные ПГКИ, из которых могут быть образованы ускоренные нейтральные пучки с помощью способов и устройства данного изобретения, содержат ионы, их легко ускорять до желаемой энергии и легко фокусировать, используя обычные технологии ионных пучков. После последующей диссоциации и отделения заряженных ионов от нейтральных частиц, частицы нейтральных пучков имеют тенденцию сохранять свои фокусированные траектории и могут переноситься на большие расстояния с хорошим эффектом.

Когда нейтральные газовые кластеры в струе ионизируют электронной бомбардировкой, они нагреваются и/или возбуждаются. Это может приводить к последующему испарению мономеров из ионизированных газовых кластеров, после ускорения, когда они проходят далее по траектории пучка. Кроме того, столкновения газовых кластерных ионов с молекулами фонового газа в областях ионизатора, ускорителя и траектории пучка также нагревают и возбуждают газовые кластерные ионы и могут приводить к дополнительному последующему выделению мономеров из газовых кластерных ионов после ускорения. Когда эти механизмы выделения мономеров вызываются электронной бомбардировкой и/или столкновениями с молекулами фонового газа (и/или другими газовыми кластерами) того же газа, из которого формировали ПГКИ, не возникает никакого загрязнения пучка за счет процессов диссоциации, которые приводят к выделению мономеров.

Есть другие механизмы, которые могут быть использованы для диссоциации газовых кластерных ионов (или инициации выделения мономеров из них) в ПГКИ без ввода загрязнений в пучок. Некоторые из этих механизмов также могут применяться для диссоциации нейтральных газовых кластеров в пучке нейтральных газовых кластеров. Один механизм представляет собой лазерное облучение пучка кластерных ионов с использованием энергии инфракрасного или другого лазера. Вызываемый лазером нагрев газовых кластерных ионов в облученном лазером ПГКИ приводит к возбуждению и/или нагреву газовых кластерных ионов и вызывает последующее выделение мономеров из пучка. Другим механизмом является прохождение пучка через термически нагретую трубу, так что фотоны лучистой тепловой энергии воздействуют на газовые кластерные ионы в пучке. Нагрев газовых кластерных ионов, вызванный лучистой тепловой энергией в трубе, приводит к возбуждению и/или нагреву газовых кластерных ионов и вызывает последующее выделение мономеров из пучка. В другом механизме, пересечение пучка газовых кластерных ионов газовой струей такого же газа или смеси, как в исходном газе, использованном в формировании ПГКИ (или другого, не загрязняющего газа), вызывает столкновения мономеров газа в газовой струе с газовыми кластерами в ионном пучке, вызывая возбуждение и/или нагрев газовых кластерных ионов в пучке и последующее выделение мономеров из возбужденных газовых кластерных ионов. Полностью в зависимости от электронной бомбардировки во время исходной ионизации и/или столкновений (с другими кластерными ионами или с молекулами фонового газа такого же газа, как используется для формирования ПГКИ) внутри пучка, и/или лазерного или термического излучения, и/или столкновения поперечной струи не загрязняющего газа с получением диссоциации и/или фрагментации ПГКИ, устраняется загрязнение пучка за счет столкновения с другими материалами.

Так как струя нейтральных газовых кластеров из сопла проходит сквозь область ионизации, где направляются электроны, чтобы ионизовать кластеры, кластер может оставаться не ионизированным или может получать заряженное состояние q из одного или нескольких зарядов (путем выброса электронов из кластера падающим электроном). Рабочие условия ионизатора вероятно влияют на то, что газовый кластер будет в особом заряженном состоянии, причем более интенсивные условиях ионизатора приводят к большей вероятности, что будет достигаться состояние с высоким зарядом. Более интенсивные условиях ионизатора, приводящие к более высокой эффективности ионизации, могут быть результатом более высокого потока электронов и/или более высокой энергии электронов (в пределах). Как только газовый кластер ионизуется, его обычно извлекают из ионизатора, фокусируют в пучок и ускоряют путем падения сквозь электрическое поле. Величину ускорения газовых кластерных ионов легко регулировать путем регулировки амплитуды ускоряющего электрического поля. Типичные коммерческие инструменты ПГКИ обработки обычно обеспечивают ускорение газовых кластерных ионов электрическим полем, имеющим регулируемый ускоряющий потенциал VAcc обычно, например, приблизительно от 1 Кв до 70 кВ (но не ограничиваются этим диапазоном - может применяться VAcc до 200 кВ или даже больше). Таким образом, однозарядные газовые кластерные ионы достигают энергии в интервале от 1 до 70 кэВ (или больше, если используют больший VAcc), а многозарядные (например, без ограничения, с зарядовым состоянием q=3 электронных заряда) газовые кластерные ионы достигают энергии в интервале от 3 до 210 кэВ (или больше для более высокого VAcc). Для других зарядовых состояний газовых кластерных ионов и ускоряющих потенциалов энергия ускорения на кластер составляет qVAcc эВ. Для данного ионизатора с данной эффективностью ионизации газовые кластерные ионы имеют распределение зарядовых состояний от нуля (не ионизированное) до более высокого числа, такого как, например, 6 (или при высокой эффективности ионизатора даже больше), и наиболее вероятные и средние величины распределения зарядового состояния также увеличиваются с увеличением эффективности ионизатора (более высокий поток и/или энергия электронов). Более высокая эффективность ионизатора также дает увеличенное число газовых кластерных ионов, образующихся в ионизаторе. Во многих случаях производительность ПГКИ обработки увеличивается, когда работа ионизатора при более высокой эффективности дает увеличенный ток ПГКИ. Обратной стороной такой работы является то, что многозарядные состояния, которые могут возникать на газовых кластерных ионах промежуточного размера, могут увеличивать образование кратеров и/или грубой границы раздела за счет этих ионов, и часто такие эффекты могут контрпродуктивно влиять на цель данной обработки. Таким образом, для многих способов ПГКИ обработки поверхности выбор рабочих параметров ионизатора требует более подробного рассмотрения, чем просто максимизация тока пучка. В некоторых способах может применяться "камера с давлением" (смотри патент США 7060989 для Swenson и др.), чтобы позволить работу ионизатора при высокой эффективности ионизации с получением приемлемой производительности обработки пучком путем смягчения энергии пучка за счет газовых столкновений в "камере с давлением" с повышенным давлением.

С настоящим изобретением нет обратной стороны для работы ионизатора при высокой эффективности - фактически такая работа иногда является предпочтительной. Когда ионизатор работает при высокой эффективности, может быть широкий диапазон зарядовых состояний в газовых кластерных ионах, производимых ионизатором. Это приводит к широкому диапазону скоростей газовых кластерных ионов в области извлечения между ионизатором и ускоряющим электродом, а также в пучке ниже по ходу. Это может приводить к увеличенной частоте столкновений между газовыми кластерными ионами в пучке, что обычно приводит к более высокой степени фрагментации самых больших газовых кластерных ионов. Такая фрагментация может приводить к перераспределению размеров кластеров в пучке, смещая его в направлении меньших размеров кластеров. Эти фрагменты кластеров сохраняют энергию пропорционально их новому размеру (N) и, таким образом, становятся менее энергичными, сохраняя, по существу, увеличенную скорость исходного нефрагментированного газового кластерного иона. Изменение энергии при сохранении скорости после столкновений было установлено экспериментально (как, например, сообщается в Toyoda, N. et al., "Cluster size dependence on energy and velocity distributions of gas cluster ions after collisions with residual gas", Nucl. Instr. & Meth. in Phys. Research B 257 (2007), pp 662-665). Фрагментация может также приводить к перераспределению зарядов во фрагментах кластеров. Вероятно возникают некоторые незаряженные фрагменты, а многозарядные газовые кластерные ионы могут распадаться на несколько заряженных газовых кластерных ионов и, возможно, несколько незаряженных фрагментов. Изобретателям понятно, что конструкция фокусирующих полей в ионизаторе и области извлечения может увеличивать фокусировку меньших газовых кластерных ионов, увеличивая вероятность столкновения с большими газовыми кластерными ионами в области извлечения пучка и в пучке ниже по ходу, способствуя, таким образом, диссоциации и/или фрагментации газовых кластерных ионов.

В одном варианте осуществления настоящего изобретения давление фонового газа в ионизаторе, ускоряющей области и траектории пучка может быть организовано так, что иметь более высокое давление, чем обычно используется для хорошего переноса ПГКИ. Это может приводить к дальнейшему выделению мономеров из газовых кластерных ионов (кроме тех, что возникают от нагрева и/или возбуждения, возникающего от исходного события ионизации газового кластера). Давление может быть организовано так, что газовые кластерные ионы имеют достаточно короткую траекторию свободного полета и достаточно длинную траекторию полета между ионизатором и заготовкой, так что они должны испытывать множество столкновений с молекулами фонового газа.

Для однородного газового кластерного иона, содержащего N мономеров и имеющего зарядовое состояние q, который ускоряется сквозь перепад потенциала электрического поля VAcc вольт, кластер будет иметь энергию приблизительно qVAcc/NI эВ на мономер, где NI обозначает число мономеров в кластерном ионе во время ускорения. Кроме самых маленьких газовых кластерных ионов, столкновение такого иона с мономером фонового газа такого же газа, как исходный газ кластеров, будет приводить к дополнительному накоплению приблизительно qVAcc/NI эВ в газовом кластерном ионе. Эта энергия относительно мала по сравнению с общей энергией газового кластерного иона (qVAcc) и обычно приводит к возбуждению или нагреву кластера и последующему выделению мономеров из кластера. Считается, что такие столкновения больших кластеров с фоновым газом редко фрагментируют кластер, но скорее нагревают и/или возбуждают его, приводя к выделению мономеров путем испарения или подобных механизмов. Независимо от источника возбуждения, который приводит к выделению мономера или мономеров из газового кластерного иона, выделившиеся мономеры имеют приблизительно такую же энергию на частицу qVAcc/NI эВ и сохраняют приблизительно такую же скорость и траекторию, как газовый кластерный ион, из которого они образовались. Когда происходит такое выделение мономеров из газового кластерного иона, является ли это результатом возбуждения или нагрева из-за исходного события ионизации, столкновения или лучистого нагрева, заряд имеет высокую вероятность оставаться с большим остаточным газовым кластерным ионом. Таким образом, после последовательности выделения мономеров большой газовый кластерный ион может уменьшаться в облако совместно перемещающихся мономеров с, наверное, меньшим остаточным газовым кластерным ионом (или возможно несколькими, если также происходит фрагментация). Совместно перемещающиеся мономеры, следующие исходной траектории пучка, все имеют приблизительно одинаковую скорость, как скорость исходного газового кластерного иона, и каждый имеет энергию приблизительно qVAcc/NI эВ. Для маленьких газовых кластерных ионов энергия столкновения с мономером фонового газа приводит, вероятно, к полной диссоциации маленького газового кластера, и в таких случаях неясно, будут ли полученные мономеры продолжать перемещаться с пучком или будут выброшены из пучка.

Чтобы избежать загрязнения пучка за счет столкновений с фоновым газом, предпочтительно, когда фоновый газ является таким же газом, как газ, составляющий газовые кластерные ионы. Сопла для формирования струй газовых кластеров обычно работают при высоком потоке газа порядка 100-600 сек·см (sccm). Часть этого потока, которая не конденсируется в газовые кластеры, повышает давление в исходной камере. В добавление к газу, прошедшему через диафрагму отсекателя в форме газовых кластеров, исходный газ, не образовавший кластеров, из исходной камеры может протекать через диафрагму отсекателя в траекторию пучка ниже по ходу или камеру(ы) траектории пучка. Выбор диаметра диафрагмы отсекателя, чтобы обеспечить увеличенный поток исходного газа, не образовавшего кластеров, из исходной камеры в траекторию пучка, является удобным способом обеспечить добавочное давление в траектории пучка, чтобы вызвать столкновения фонового газа с ПГКИ. Из-за высокого потока исходного газа (газ, не образовавший кластеров, через диафрагму отсекателя и газ, переносимый к мишени пучком) атмосферные газы быстро выдуваются из траектории пучка. Альтернативно, газ может натекать в камеру траектории пучка или, как указано выше, вводиться в виде струи, пересекающей траекторию ПГКИ. В таком случае данный газ предпочтительно является таким же газом, как исходный газ (или инертный или другой, не загрязняющий). В критичных приложениях анализатор остаточного газа может применяться в траектории пучка, чтобы подтверждать качество фонового газа, когда столкновения фонового газа играют роль в выделении мономеров.

До того, как ПГКИ достигает заготовки, оставшиеся заряженные частицы (газовые кластерные ионы, особенно газовые кластерные ионы малого и промежуточного размера, и некоторые заряженные мономеры, а также включая все оставшиеся большие газовые кластерные ионы) в пучке отделяются от нейтральной части пучка, оставляя только нейтральный пучок для обработки заготовки.

При обычной работе доля энергии нейтрального пучка относительно энергии всего (заряженного и незаряженного) пучка, поступающего на обрабатываемую мишень, находится в интервале приблизительно от 5% до 95%, поэтому с помощью разделяющих способов и устройства настоящего изобретения можно подавать эту часть кинетической энергии всего ускоренного заряженного пучка на мишень в виде нейтрального пучка.

Диссоциация газовых кластерных ионов и, таким образом, получение пучка нейтральных мономеров с высокой энергией облегчается с помощью:

1) Работы при повышенных ускоряющих напряжениях. Это увеличивает qVAcc/N для любого заданного размера кластера;

2) Работы при высокой эффективности ионизатора. Это увеличивает qVAcc/N для любого заданного размера кластера путем увеличения q и увеличивает столкновения кластерных ионов в области извлечения вследствие различия зарядовых состояний между кластерами;

3) Работа при высокой ионизации, ускоряющей области или давлении траектории пучка или работа с газовой струей, пересекающей пучок, или с более длинной траекторией пучка, все из которых увеличивают вероятность столкновений с фоновым газом для газового кластерного иона любого заданного размера;

4) Работа с лазерным облучением или термическим лучистым нагревом пучка, что непосредственно стимулирует выделение мономеров из газовых кластерных ионов; и

5) Работа при более высоком потоке газа из сопла, что увеличивает перенос газа, кластеризованного и, возможно, не кластеризованного, в траекторию ПГКИ, что увеличивает столкновения, приводя к большему выделению мономеров.

Для получения столкновений фонового газа произведение длины траектории пучка газовых кластерных ионов от области излечения до заготовки на давление в этой области способствует степени происходящей диссоциации газовых кластерных ионов. Для ускорения 30 кВ, параметры ионизатора, которые обеспечивают среднее зарядовое состояние газового кластерного иона 1 или больше, и произведение давления на длину траектории пучка 6×10-3 Торр-см (0,8 паскаль-см) (при 25 градусах С) обеспечивает нейтральный пучок (после отделения от остаточных заряженных ионов), который, по существу, полностью диссоциирован в нейтральные энергичные мономеры. Удобно и привычно характеризовать произведение давления на длину траектории пучка газовой толщиной мишени. 6×10-3 Торр-см (0,8 паскаль-см) соответствует газовой толщине мишени приблизительно 1,94×1014 молекул газа/см2. В одном примерном (не для ограничения) варианте осуществления давление фонового газа составляет 6×10-5 Торр (8×10-3 паскалей), длина траектории пучка составляет 100 см, ускоряющий потенциал составляет 30 кВ, и в этом случае наблюдали, что нейтральный пучок, по существу, полностью диссоциирован на мономеры в конце траектории пучка. Это происходит без лазерного или лучистого нагрева пучка и без использования газовой струи, пересекающей пучок. Полностью диссоциированное состояние ускоренного нейтрального пучка является результатом выделения мономеров из-за нагрева кластеров вследствие ионизации кластеров, столкновений с мономерами остаточного газа и столкновениями между кластерами в пучке.

Использование диссоциированого нейтрального пучка дает улучшенные результаты сглаживания при сглаживании золотой пленки по сравнению с полным пучком. В другом приложении использование диссоциированого нейтрального пучка на лекарственном поверхностном покрытии на медицинском устройстве, или на слое из смеси лекарства и полимера на медицинском устройстве, или на теле медицинского устройства из смеси лекарства и полимера обеспечивает улучшенное прикрепление лекарства и изменение скорости выделения лекарства без потери массы лекарства, которая происходит, когда используют полный ПГКИ.

Измерение нейтрального пучка нельзя сделать путем измерения тока, как удобно делать для пучков газовых кластерных ионов. Применяют датчик энергии нейтрального пучка, чтобы облегчить дозиметрию при облучении заготовки нейтральным пучком. Датчик нейтрального пучка представляет собой термический датчик, который отсекает пучок (или возможно известную пробу пучка). Скорость подъема температуры датчика связана с потоком энергии, возникающим от облучения датчика энергичным пучком. Термические измерения необходимо проводить в ограниченном интервале температуры датчика, чтобы избежать ошибок из-за повторного термического излучения энергии, падающей на датчик. Для способа ПГКИ мощность пучка (ватты) равна произведению тока пучка (амперы) на VAcc, ускоряющее напряжение пучка. Когда ПГКИ облучает заготовку в течение некоторого периода времени (секунды), энергия (джоули), полученная заготовкой, является произведением мощности пучка и времени облучения. Обрабатывающее действие такого пучка, когда он обрабатывает обширную площадь, распределяется по данной площади (например, см2). Для ионных пучков обычно удобно указывать дозу обработки в величинах излученных ионов/см2, где про эти ионы известно или предполагается, что они при данном времени ускорения имеют среднее зарядовое состояние q и ускоряются через разницу потенциалов VAcc вольт, так что каждый ион несет энергию qVAcc эВ (один эВ равен приблизительно 1,6×10-19 джоулей). Таким образом, доза ионного пучка для среднего зарядового состояния q, ускоренного с помощью qVAcc, выраженного в ионах/см2, соответствует легко вычисляемой дозе энергии, выражаемой в джоулях/см2. Для ускоренного нейтрального пучка, полученного из ускоренного ПГКИ, используемого в настоящем изобретении, величина q при данном времени ускорения и величина VAcc представляют собой одно и то же и для заряженной (далее - сформированной и отделенной), и для незаряженной фракций пучка. Мощность в двух фракциях (нейтральной и заряженной) ПГКИ делится пропорционально массе каждой фракции пучка. Таким образом, для ускоренного нейтрального пучка, используемого в данном изобретении, когда равные площади облучают в течение равного времени, доза энергии (джоули/см2), переданная нейтральным пучком, должна быть меньше, чем доза энергии, переданная полным ПГКИ. Используя термический датчик для измерения мощности полного ПГКИ PG и мощности нейтрального пучка PN (которая обычно составляет от приблизительно 5% до приблизительно 95% от мощности полного ПГКИ), можно вычислить коэффициент компенсации для использования в дозиметрии обработки нейтральным пучком. Когда PN равно aPG, коэффициент компенсации k=1/а. Таким образом, если заготовку обрабатывают, используя нейтральный пучок, полученный из ПГКИ, в течение времени в k раз больше, чем время обработки полным ПГКИ (включающим заряженную и нейтральную доли пучка) с достижением дозы D ионов/см2, дозы энергии, осажденные в заготовке и нейтральным пучком, и полным ПГКИ, являются одинаковыми (хотя результат может отличаться из-за качественных различий в обрабатывающем действии вследствие разных размеров частиц в двух пучках). Как здесь используется, доза способа с нейтральным пучком, компенсированная таким образом, иногда описывается эквивалентными величинами энергия/см2 и доза D ионов/см2.

Применение нейтрального пучка, полученного из пучка газовых кластерных ионов, в комбинации с термическим датчиком мощности для дозиметрии во многих случаях имеет преимущества по сравнению с применением полного пучка газовых кластерных ионов или отсеченной или отведенной части, которая неизбежно содержит смесь газовых кластерных ионов и нейтральных газовых кластеров и/или нейтральных мономеров, и которая обычно измеряется в целях дозиметрии с использованием измерения тока пучка. Некоторые преимущества следующие:

1) Дозиметрия может быть более точной с нейтральным пучком, использующим термический датчик для дозиметрии, так как измеряется полная мощность пучка. Для ПГКИ, использующего традиционное измерение тока пучка для дозиметрии, измеряется и применяется для дозиметрии только вклад ионизированной части пучка. Изменения рабочих условий ПГКИ устройства от времени и замены оборудования могут приводить в изменению доли нейтральных мономеров и нейтральных кластеров в ПГКИ. Эти изменения могут приводить к изменениям способа, которые могут меньше контролироваться, когда дозиметрию выполняют путем измерения тока пучка.

2) При использовании нейтрального пучка можно обрабатывать широкое множество материалов, включая высокоизолирующие материалы и другие материалы, которые могут повреждаться действием электрического заряжения, без необходимости обеспечения источника нейтрализующих мишень электронов для предотвращения заряжения заготовки из-за переноса заряда на заготовку ионизированным пучком. При применении обычного ПГКИ нейтрализация мишени для снижения заряжения редко является совершенной, и источник нейтрализующих электронов сам часто вызывает такие проблемы, как нагрев заготовки, загрязнение из-за испарения или распыления в источнике электронов и др. Так как нейтральный пучок не переносит заряд к заготовке, такие проблемы снижаются.

3) Нет необходимости в добавочном устройстве, таком как магнит большой силы с большой диафрагмой, чтобы отделять энергичные ионы мономеров от нейтрального пучка. В случае обычного ПГКИ угроза от энергичных ионов мономеров (и других маленьких кластерных ионов), переносимых к заготовке, куда они проникают, вызывая глубокое повреждение, является значительной, и обычно требуется дорогой магнитный фильтр для отделения таких частиц от пучка. В случае устройства данного изобретения с нейтральным пучком отделение всех ионов от пучка с получением нейтрального пучка, по существу, удаляет все мономерные ионы.

Применяемый здесь термин "лекарство" предназначен обозначать терапевтический агент или материал, который является активным при обычном благотворном применении, который локально высвобождается или вымывается вблизи имплантируемого медицинского устройства, чтобы облегчить имплантацию устройства (например, без ограничения, путем обеспечения смазывания), или чтобы облегчить (например, без ограничения, посредством биологической или биохимической активности) благоприятный медицинский или физиологический результат имплантации данного устройства. Термин "лекарство" включает в себя смесь лекарства с полимером, который применяется с целью связывания или обеспечения сцепления с лекарством, прикрепления лекарства к медицинскому устройству или для образования граничного слоя, чтобы регулировать высвобождение или вымывание лекарства. Лекарство, которое было модифицировано облучением ионным пучком, чтобы уплотнять, карбонизировать или частично карбонизировать, частично денатурировать, сшивать или частично сшивать, или, по меньшей мере, частично полимеризовать молекулы лекарства, считается включенным в данное определение "лекарства".

Применяемый здесь термин "промежуточный размер", когда относится к размеру газового кластера или размеру газового кластерного иона, означает размеры от N=10 до N=1500.

Применяемые здесь термины "ПГКИ", "пучок газовых кластерных ионов" и "газовый кластерный ион" предназначены охватывать не только ионизированные пучки и ионы, но также ускоренные пучки и ионы, у которых все или часть их зарядовых состояний изменены (включая нейтрализацию) после их ускорения. Термины "ПГКИ" и "пучок газовых кластерных ионов" предназначены охватывать все пучки, которые содержат ускоренные газовые кластеры, даже если они могут также содержать некластеризованные частицы. Применяемый здесь термин "нейтральный пучок" означает пучок нейтральных газовых кластеров и/или нейтральных мономеров, полученный из пучка ускоренных газовых кластерных ионов, где данное ускорение является результатом ускорения пучка газовых кластерных ионов.

Применяемый здесь при упоминании частицы в газе или частицы в пучке термин "мономер" означает, равным образом, один атом или одну молекулу. Термины "атом", "молекула" и "мономер" могут использоваться взаимозаменяемо и все относятся к соответствующему мономеру, который является характерным для обсуждаемого газа (компонент кластера, компонент кластерного иона или атом или молекула). Например, моноатомный газ, такой как аргон, может описываться в терминах атомов, молекул или мономеров, и каждый из этих терминов означает один атом. Аналогично, в случае двухатомного газа, такого как азот, он может описываться в терминах атомов, молекул или мономеров, и каждый из этих терминов означает двухатомную молекулу. Кроме того, молекулярный газ, такой как СО2 или В2Н6, может описываться в терминах атомов, молекул или мономеров, и каждый из терминов означает многоатомную молекулу. Эти соглашения используются, чтобы упростить общие обсуждения газов и газовых кластеров или газовых кластерных ионов независимо от того, являются ли они одноатомными, двухатомными или молекулярными в их газообразной форме. При указании на компонент молекулы или твердого материала "атом" имеет свое обычное значение.

КРАТКОЕ ОПИСАНИЕ ЧЕРТЕЖЕЙ

Для лучшего понимания настоящего изобретения, вместе с его другими и дополнительными целями, делается ссылка на сопровождающие чертежи, где:

Фиг. 1 представляет собой схему, изображающую элементы устройства предшествующего уровня техники для обработки заготовки с использованием ПГКИ;

Фиг. 2 представляет собой схему, изображающую элементы другого устройства предшествующего уровня техники для обработки заготовки с использованием ПГКИ, где применяют сканирование ионного пучка и перемещение заготовки;

Фиг. 3 представляет собой схему устройства согласно одному варианту осуществления данного изобретения, который использует электростатические отклоняющие пластины, чтобы разделять заряженные и незаряженные компоненты пучка;

Фиг. 4 представляет собой схему устройства согласно одному варианту осуществления данного изобретения, использующего термический датчик для измерения нейтрального пучка;

Фиг. 5 представляет собой схему устройства согласно одному варианту осуществления данного изобретения, который использует ток отклоненного ионного пучка, собранного на подавляющей отклоняющей пластине, в качестве компонента схемы дозиметрии;

Фиг. 6 представляет собой схему устройства согласно одному варианту осуществления данного изобретения, который использует пробу отклоненного ионного пучка, собранного в чашке Фарадея, в качестве компонента схемы дозиметрии;

Фиг. 7 показывает схему устройства согласно одному варианту осуществления данного изобретения, применяющему механическое сканирование для равномерного облучения обширной заготовки нейтральным пучком;

Фиг. 8 показывает схему устройства согласно одному варианту осуществления данного изобретения со средством для регулирования газовой толщины мишени путем введения газа в камеру траектории пучка;

Фиг. 9 показывает схему устройства согласно одному варианту осуществления данного изобретения, который использует электростатическое зеркало, чтобы разделять заряженные и нейтральные компоненты пучка;

Фиг. 10 показывает схему устройства согласно одному варианту осуществления данного изобретения, в котором применяют конфигурацию ускорения-замедления, чтобы отделять заряженный пучок от нейтрального пучка;

Фиг. 11 показывает схему устройства согласно одному варианту осуществления данного изобретения, в котором применяют конфигурацию переменного ускорения-замедления, чтобы отделять заряженный пучок от нейтрального пучка;

Фиг. 12А, 12В, 12С и 12D изображают результаты обработки, показывающие, что для металлической пленки, обработанной с помощью нейтрального компонента пучка, получается прекрасное сглаживание пленки по сравнению с обработкой полным ПГКИ или заряженным компонентом пучка;

Фиг. 13А и 13В показывают сравнение лекарственного покрытия на кобальт-хромовом образце, представляющем медицинское устройство, вымывающее лекарство, где обработка нейтральным пучком дает прекрасный результат относительно обработки полным ПГКИ;

Фиг. 14 представляет собой схему устройства обработки нейтральным пучком согласно одному варианту осуществления данного изобретения, в котором применяют магнитное разделение;

Фиг. 15А, 15В и 15С представляют собой ТЭМ изображения, демонстрирующие прекрасные границы раздела, полученные при использовании вариантов осуществления данного изобретения, по сравнению с пучками газовых кластерных ионов;

Фиг. 16 представляет собой график, показывающий SIMS профиль неглубокой имплантации бора, подходящей для формирования неглубоких переходов, при использовании одного варианта осуществления данного изобретения;

Фиг. 17 представляет собой ТЭМ изображение, показывающее высококачественную границу раздела, образованную, когда один вариант осуществления данного изобретения использовали при формировании легированного бором полупроводника;

Фиг. 18 представляет собой график, изображающий травление SiO2 и Si с использованием одного варианта осуществления данного изобретения;

Фиг. 19А и 19В представляют собой ТЭМ изображения, показывающие применение вариантов осуществления данного изобретения при формировании аморфных слоев полупроводниковых материалов;

Фиг. 20А и 20В представляют собой ТЭМ изображения, показывающие применение ускоренных нейтральных пучков, полученных из ПГКИ, для формирования пленок в полупроводниках; и

Фиг. 21 представляет собой график, изображающий осаждение алмазоподобной углеродной пленки на кремниевой подложке с использованием ускоренного нейтрального пучка, полученного из ускоренного ПГКИ.

ОСУЩЕСТВЛЕНИЕ ИЗОБРЕТЕНИЯ

Теперь дается ссылка на Фиг. 1, которая показывает схематическую конфигурацию устройства 100 ПГКИ обработки предшествующего уровня техники. Резервуар 102 низкого давления имеет три проточно соединенных камеры: камера 104 с соплом, камера 106 ионизации/ускорения и камера 108 обработки. Данные три камеры откачиваются вакуумными насосами 146а, 146b и 146с соответственно. Сжатый конденсируемый исходный газ 112 (например, аргон), хранящийся в баллоне 111 для хранения газа, течет через газоизмеряющий вентиль 113 и подающую трубу 114 в камеру 116 заторможенного потока. Давление (обычно несколько атмосфер) в камере 116 заторможенного потока приводит к впрыскиванию газа в вакуум гораздо меньшего давления через сопло 110, вызывая образование сверхзвуковой газовой струи 118. Охлаждение, возникающее от расширения в струе, заставляет часть газовой струи 118 конденсироваться в кластеры, каждый из которых состоит из от нескольких единиц до нескольких тысяч слабосвязанных атомов или молекул. Диафрагма 120 отсекателя газа применяется, чтобы регулировать поток газа в камеры ниже по ходу путем частичного отделения молекул газа, которые не сконденсировались в струю кластеров, из струи кластеров. Избыточное давление в камерах ниже по ходу может быть нежелательным, так как мешает переносу газовых кластерных ионов и мешает управлению высоким напряжениями, которые могут применяться для формирования пучка и переноса. Подходящие конденсируемые исходные газы 112 включают в себя аргон и другие конденсируемые инертные газы, азот, диоксид углерода, кислород и многие другие газы и/или газовые смеси, но не ограничиваются ими. После формирования газовых кластеров в сверхзвуковой газовой струе 118, по меньшей мере, часть газовых кластеров ионизуется в ионизаторе 122, который обычно является ионизатором электронным ударом, который производит электроны путем тепловой эмиссии из одной или нескольких нитей накаливания 124 (или из других подходящих источников электронов) и ускоряет, и направляет данные электроны, позволяя им сталкиваться с газовыми кластерами в газовой струе 118. Столкновения электронов с газовыми кластерами выбрасывают электроны из некоторых частей газовых кластеров, заставляя эти кластеры становиться положительно ионизированными. Некоторые кластеры имеют больше одного выброшенного электрона и могут становиться многократно ионизированными. Контроль числа электронов и их энергий после ускорения обычно влияет на число ионизаций, которые могут происходить, и отношение между многократными и однократными ионизациями газовых кластеров. Подавляющий электрод 142 и заземленный электрод 144 извлекают кластерные ионы из отверстия 126 выхода ионизатора, ускоряют их до желаемой энергии (обычно ускоряющими потенциалами от нескольких сотен В до нескольких десятков кВ) и фокусируют их, формируя ПГКИ 128. Область, которую ПГКИ 128 пересекает между отверстием 126 выхода ионизатора и подавляющим электродом 142, называется областью извлечения. Ось (заданная соплом 110) сверхзвуковой газовой струи 118, содержащей газовые кластеры, является, по существу, такой же, как ось 154 ПГКИ 128. Источник питания 136 нити обеспечивает напряжение нити Vf для нагрева нити 124 ионизатора. Источник питания 134 анода обеспечивает анодное напряжение VA для ускорения термоэлектронов, эмитируемых из нити 124, заставляя термоэлектроны облучать кластер-содержащую газовую струю 118 с получением кластерных ионов. Источник питания 138 подавления подает подавляющее напряжение VS (порядка от нескольких сотен до нескольких тысяч вольт) на смещающий подавляющий электрод 142. Источник питания 140 ускорителя подает ускоряющее напряжение VAcc, чтобы смещать ионизатор 122 относительно подавляющего электрода 142 и заземленного электрода так, чтобы создавать полный потенциал ускорения ПГКИ, равный VAcc. Подавляющий электрод 142 служит для извлечения ионов из отверстия 126 выхода ионизатора 122 и предотвращения нежелательных электронов от входа в ионизатор 122 со стороны ниже по ходу, и формирования фокусированного ПГКИ 128.

Заготовка 160, которая может (например) представлять собой медицинское устройство, полупроводниковый материал, оптический элемент или другую заготовку, обрабатываемую с помощью ПГКИ обработки, удерживается на держателе 162 заготовки, который располагает заготовку на траектории ПГКИ 128. Держатель заготовки прикрепляется к камере 108 обработки, но электрически изолируется от нее, электрическим изолятором 164. Таким образом, ПГКИ 128, ударяющий заготовку 160 и держатель заготовки 162, течет через электрический вывод 168 в процессор дозы 170. Затвор 172 пучка регулирует перенос ПГКИ 128 вдоль оси 154 к заготовке 160. Затвор 172 пучка обычно имеет открытое состояние и закрытое состояние, которые контролируются соединением 174, которое может быть (например) электрическим, механическим или электромеханическим. Процессор дозы 170 контролирует открытое/закрытое состояние затвора 172 пучка, управляя дозой ПГКИ, получаемой заготовкой 160 и держателем 162 заготовки. При работе, процессор дозы 170 открывает затвор 172 пучка, вызывая ПГКИ облучение заготовки 160. Процессор дозы 170 обычно интегрирует электрический ток ПГКИ, приходящий на заготовку 160 и держатель 162 заготовки, вычисляя накопленную зону ПГКИ облучения. При заданной дозе, процессор дозы 170 закрывает затвор 172 пучка, заканчивая обработку, когда заданная доза достигнута.

В последующем описании для простоты изображения численные обозначения из более ранних фигур могут появляться в последующих фигурах без обсуждения. Аналогично, предметы, обсуждаемые в отношении более ранних фигур, могут появляться в последующих фигурах без численных обозначений или дополнительного описания. В таких случаях, предметы с одинаковыми номерами представляют собой одинаковые предметы и имеют ранее описанные признаки и функции, и изображение предметов без численных обозначений, показанных в настоящей фигуре, отсылает к аналогичным предметам, имеющим такие же функции, как аналогичные предметы, изображенные в ранних фигурах с численными обозначениями.

Фиг. 2 показывает схему, изображающую элементы другого устройства 200 ПГКИ обработки предшествующего уровня техники, где применяют сканирование ионного пучка и управление заготовкой. Заготовка 160, обрабатываемая с помощью устройства 200 ПГКИ обработки, удерживается держателем 202 заготовки, расположенным в траектории ПГКИ 128. Чтобы выполнять равномерную обработку заготовки 160, держатель 202 заготовки сконструирован так, чтобы манипулировать заготовкой 160, как может потребоваться для равномерной обработки.

Любые поверхности заготовки, которые не являются плоскими, например, сферическая или чашеобразная, округлая, нерегулярная или другой неплоской конфигурации, могут ориентироваться внутри интервала углов относительно падения пучка, чтобы получить оптимальную обработку ПГКИ поверхностей заготовки. Держатель 202 заготовки может полностью присоединяться на шарнирах для ориентирования всех неплоских обрабатываемых поверхностей подходящим образом относительно ПГКИ 128, чтобы обеспечить оптимизацию и равномерность обработки. Более конкретно, когда обрабатываемая заготовка 160 является неплоской, держатель 202 заготовки может поворачиваться во вращательном движении 210 и наклоняться в шарнирном движении 212 с помощью шарнирного/вращательного механизма 204. Шарнирный/вращательный механизм 204 может позволять 360 градусов вращения устройства вокруг продольной оси 206 (которая коаксиальна с осью 154 ПГКИ 128) и в достаточной степени наклоняться вокруг оси 208, перпендикулярной оси 206, поддерживая поверхность заготовки внутри желаемого интервала угла падения пучка.

В определенных условиях, в зависимости от размера заготовки 160, может быть желательна сканирующая система для получения равномерного облучения большой заготовки. Хотя это часто не обязательно для ПГКИ обработки, две пары ортогонально ориентированных электростатических сканирующих пластин 130 и 132 могут применяться для получения растрового или другого типа сканирования по протяженной площади обработки. Когда выполняют такое сканирование пучка, генератор 156 сканирования подает напряжения сигнала сканирования по оси Х на пару сканирующих пластин 132 через пару вводов 159 и напряжения сигнала сканирования по оси Y на пару сканирующих пластин 130 через пару вводов 158. Напряжения сигнала сканирования обычно представляют собой треугольные волны разной частоты, которые заставляют ПГКИ 128 превращаться в сканированный ПГКИ 148, который сканирует всю поверхность заготовки 160. Диафрагма 214, задающая сканированный пучок, определяет площадь сканирования. Диафрагма 214, задающая сканированный пучок, является электропроводящей и электрически соединяется со стенкой резервуара 102 низкого давления и поддерживается опорным элементом 220. Держатель 202 заготовки электрически соединяется через гибкий электрический вывод 222 с чашкой Фарадея 216, которая окружает заготовку 160 и держатель 202 заготовки и собирает весь ток, проходящий через задающую диафрагму 214. Держатель 202 заготовки электрически изолирован от шарнирного/вращательного механизма 204, и чашка Фарадея 216 электрически изолирована от резервуара 102 низкого давления и крепится к нему изоляторами 218. Соответственно, весь ток от сканированного ПГКИ 148, который проходит через диафрагму 214, задающую сканированный пучок, собирается в чашке Фарадея 216 и течет по электрическому выводу 224 в процессор дозы 170. При работе, процессор дозы 170 открывает затвор 172 пучка, чтобы начать ПГКИ облучение заготовки 160. Процессор дозы 170 обычно интегрирует электрический ток ПГКИ, приходящий на заготовку 160 и держатель 202 заготовки, и чашку Фарадея 216, чтобы вычислять накопленную дозу ПГКИ облучения на единицу площади. При заданной дозе, процессор дозы 170 закрывает затвор 172 пучка, заканчивая обработку, когда заданная доза достигнута. Во время накопления заданной дозы, заготовка 160 может манипулироваться шарнирным/вращательным механизмом 204, чтобы обеспечить обработку все желаемых поверхностей.

Фиг. 3 представляет собой схему устройства 300 обработки нейтральным пучком согласно одному варианту осуществления данного изобретения, который использует электростатические отклоняющие пластины для разделения заряженной и незаряженной частей ПГКИ. Камера 107 траектории пучка охватывает области ионизации и ускорения и область обработки заготовки. Камера 107 траектории пучка имеет высокую проходимость, и поэтому давление в ней является, по существу, однородным. Вакуумный насос 146b откачивает камеру 107 траектории пучка. Газ течет в камеру 107 траектории пучка в форме кластеризованного или некластеризованного газа, переносимого газовой струей 118, и в форме дополнительного некластеризованного газа, который натекает через диафрагму 120 отсекателя газа. Датчик 330 давления передает данные о давлении из камеры 107 траектории пучка по электрическому кабелю 332 в контроллер 334 датчика давления, который измеряет и показывает давление в камере 107 траектории пучка. Давление в камере 107 траектории пучка зависит от баланса газа, втекающего в камеру 107 траектории пучка, и скорости откачки вакуумным насосом 146b. Путем выбора диаметра диафрагмы 120 отсекателя газа, потока исходного газа 112 через сопло 110 и скорости откачки вакуумного насоса 146b, давление в камере 107 траектории пучка уравновешивается при давлении РВ, определяемом конструкцией и потоком из сопла. Траектория полета ПГКИ от заземленного электрода 144 до держателя 162 заготовки составляет, например, 100 см. С помощью дизайна и регулировки РВ может быть приблизительно 6×10-5 Торр (8×10-3 паскалей). Таким образом, произведение давления и длины траектории пучка составляет приблизительно 6×10-3 Торр-см (0,8 паскаль-см), и газовая толщина мишени для пучка составляет приблизительно 1,94×1014 молекул газа на см2, при этом обнаружено, что эта величина, объединенная с выделением мономеров из-за исходной ионизации газовых кластеров в ионизаторе 122 и столкновений, которые происходят между газовыми кластерными ионами в ПГКИ 128, эффективна для диссоциации газовых кластерных ионов в ПГКИ 128 и дает полностью диссоциированный ускоренный нейтральный пучок 314. VAcc может быть, например, 30 кВ, и ПГКИ 128 ускоряется с помощью этого потенциала. Пара отклоняющих пластин (302 и 304) расположена вблизи оси 154 ПГКИ 128. Источник питания 306 отклоняющего устройства подает положительное отклоняющее напряжение VD на отклоняющую пластину 302 по электрическому вводу 308. Отклоняющая пластина 304 соединяется с электрическим заземлением электрическим выводом 312 через датчик тока/дисплей 310. Источник питания 306 отклоняющего устройства контролируется вручную. VD может регулироваться от нуля до напряжения, достаточного, чтобы полностью отклонять ионизированную часть 316 ПГКИ 128 на отклоняющую пластину 304 (например, несколько тысяч вольт). Когда ионизированная часть 316 ПГКИ 128 отклоняется на отклоняющую пластину 304, возникающий ток ID течет через электрический вывод 312 и датчик тока/дисплей 310 для индикации. Когда VD равен нулю, ПГКИ 128 не отклоняется и проходит на заготовку 160 и держатель 162 заготовки. Ток IB пучка ПГКИ собирается на заготовке 160 и держателе 162 заготовки, и течет через электрический вывод 168 и датчик тока/дисплей 320 к электрическому заземлению. IB индицируется на датчике тока/дисплее 320. Затвор 172 пучка контролируется через соединение 338 контроллером 336 затвора пучка. Контроллер 336 затвора пучка может быть ручным или может электрически или механически регулироваться по заданному времени, открывая затвор 172 пучка на заданный интервал времени. При работе VD устанавливают на ноль, и измеряют ток IB пучка, падающий на держатель заготовки. Время исходного облучения для данного способа определяют на основании измеренного тока IB, основываясь на предыдущем опыте для данного способа ПГКИ. VD увеличивают до тех пор, пока весь измеренный ток пучка не переходит от IB в ID, и ID больше не увеличивается с увеличением VD. В этот момент нейтральный пучок 314, содержащий энергичные диссоциированные компоненты исходного ПГКИ 128, облучает держатель 162 заготовки. Затвор 172 пучка затем закрывают, и заготовку 160 помещают на держатель 162 заготовки с помощью обычного средства установки заготовки (не показано). Затвор 172 пучка открывают на заданное время исходного облучения. После данного интервала облучения заготовка может проверяться, а время обработки регулироваться как необходимо, чтобы калибровать желаемую продолжительность обработки нейтральным пучком на основании измеренного тока IB пучка ПГКИ. После такого процесса калибровки дополнительные заготовки могут обрабатываться с использованием калиброванной продолжительности экспозиции.

Нейтральный пучок 314 содержит воспроизводимую долю исходной энергии ускоренного ПГКИ 128. Остальная ионизированная часть 316 исходного ПГКИ 128 удаляется из нейтрального пучка 314 и собирается заземленной отклоняющей пластиной 304. Ионизированная часть 316, которая удаляется из нейтрального пучка 314, может включать в себя мономерные ионы и газовые кластерные ионы, включая газовые кластерные ионы промежуточного размера. Вследствие механизмов испарения мономеров из-за нагрева кластеров во время процесса ионизации, столкновений внутри пучка, столкновений с фоновым газом и других случаев (все из которых приводят к эрозии кластеров) нейтральный пучок состоит, по существу, из нейтральных мономеров, тогда как отделенные заряженные частицы преимущественно представляют собой кластерные ионы. Изобретатели доказали это с помощью надлежащих измерений, которые включают в себя повторную ионизацию нейтрального пучка и измерение отношения заряда к массе полученных ионов. Отделенные заряженные компоненты пучка, главным образом, состоят из кластерных ионов промежуточного размера, а также мономерных ионов и, возможно, некоторых больших кластерных ионов. Как будет показано ниже, определенные прекрасные результаты данного способа получаются путем обработки заготовок с использованием этого нейтрального пучка.

Фиг. 4 представляет собой схему устройства 400 обработки нейтральным пучком согласно одному варианту осуществления данного изобретения, который использует термический датчик для измерения нейтрального пучка. Термический датчик 402 прикреплен через крепление 404 с низкой теплопроводностью к вращающемуся опорному рычагу 410, прикрепленному к оси 412. Привод 408 двигает термический датчик 402 посредством обратимого вращательного движения 416 между положениями, которые пересекают нейтральный пучок 314 или ПГКИ 128, и исходным (парковочным) положением, обозначенным как 414, где термический датчик 402 не пересекает какой-либо пучок. Когда термический датчик 402 находится в исходном положении (обозначенном как 414), ПГКИ 128 или нейтральный пучок 314 проходит вдоль траектории 406 для облучения заготовки 160 и/или держателя 162 заготовки. Контроллер 420 термического датчика регулирует положение термического датчика 402 и осуществляет обработку сигнала, генерированного термическим датчиком 402. Термический датчик 402 соединяется с контроллером 420 термического датчика по электрическому кабелю 418. Контроллер 420 термического датчика соединяется с контроллером 432 дозиметрии по электрическому кабелю 428. Устройство 424 измерения тока пучка измеряет ток IB пучка, текущий в электрическом выводе 168, когда ПГКИ 128 падает на заготовку 160 и/или держатель 162 заготовки. Устройство 424 измерения тока пучка передает сигнал измерения тока пучка в контроллер 432 дозиметрии по электрическому кабелю 426. Контроллер 432 дозиметрии регулирует установку открытого или закрытого состояний затвора 172 пучка с помощью контрольных сигналов, передаваемых через соединение 434. Контроллер 432 дозиметрии регулирует источник питания 440 отклоняющего устройства по электрическому кабелю 442 и может регулировать отклоняющее напряжение VD между нулевым напряжением и положительным напряжением, соответствующим полному отклонению ионизированной части 316 ПГКИ 128 на отклоняющую пластину 304. Когда ионизированная часть 316 ПГКИ 128 ударяет в отклоняющую пластину 304, полученный ток ID измеряется с помощью датчика 422 тока и передается в контроллер 432 дозиметрии по электрическому кабелю 430. При работе, контроллер 432 дозиметрии устанавливает термический датчик 402 в исходное положение 414, открывает затвор 172 пучка, устанавливает VD на ноль, так что весь ПГКИ ударяет в держатель 162 заготовки и/или заготовку 160. Контроллер 432 дозиметрии регистрирует ток IB пучка, переданный от устройства измерения тока пучка. Контроллер 432 дозиметрии затем передвигает термический датчик 402 из исходного положения 414 в пересекающее ПГКИ 128 с помощью команд, передаваемых через контроллер 420 термического датчика. Контроллер 420 термического датчика измеряет поток энергии пучка ПГКИ 128 путем вычисления, основанного на теплоемкости датчика и измеренной скорости роста температуры термического датчика 402, когда его температура растет сквозь заданную температуру измерения (например, 70 градусов С), и передает вычисленный поток энергии пучка в контроллер 432 дозиметрии, который затем вычисляет калибровку потока энергии пучка, измеряемого термическим датчиком 402, и соответствующий ток пучка, измеряемый устройством 424 измерения тока пучка. Контроллер 432 дозиметрии затем отводит термический датчик 402 в исходное положение 414, позволяя ему остывать, и командует подавать положительное VD на отклоняющую пластину 302 до тех пор, пока весь ток ID от ионизированной части ПГКИ 128 не передается в отклоняющую пластину 304. Датчик 422 тока измеряет соответствующий ID и передает его в контроллер 432 дозиметрии. Контроллер дозиметрии также передвигает термический датчик 402 из исходного положения 414 в пересекающее нейтральный пучок 314 командами, передаваемыми через контроллер 420 термического датчика. Контроллер 420 термического датчика измеряет поток энергии пучка от нейтрального пучка 314, используя предварительно определенный калибровочный фактор и скорость роста температуры термического датчика 402, когда его температура растет через заданную температуру измерения, и передает поток энергии нейтрального пучка в контроллер 432 дозиметрии. Контроллер 432 дозиметрии вычисляет долю нейтрального пучка, которая представляет собой отношение термического измерения потока энергии нейтрального пучка 314 к термическому измерению потока энергии полного ПГКИ 128 датчиком 402. При обычной работе достигается доля нейтрального пучка от приблизительно 5% до приблизительно 95%. Перед началом обработки контроллер 432 дозиметрии также измеряет ток ID и определяет отношение тока между исходными величинами IB и ID. Во время обработки может проводиться непрерывное измерение ID, умножаемое на исходное отношение IB/ID, в качестве замены для непрерывного измерения IB, и применяться для дозиметрии во время контроля обработки с помощью контроллера 432 дозиметрии. Таким образом, контроллер 432 дозиметрии может сразу компенсировать любую флуктуацию пучка во время обработки заготовки, как будто доступно фактическое измерение тока пучка для полного ПГКИ 128. Контроллер дозиметрии использует долю нейтрального пучка, чтобы вычислять желаемое время обработки для конкретного способа обработки. Во время данного процесса, время обработки может регулироваться на основании калибровочного измерения ID для коррекции любой флуктуации пучка во время процесса.

Фиг. 5 представляет собой схему устройства 500 обработки нейтральным пучком согласно одному варианту осуществления данного изобретения, который использует ток отклоненного ионного пучка, собранный на подавляющей отклоняющей пластине, в качестве компонента дозиметрической схемы. Кратко возвращаясь к Фиг. 4, дозиметрическая схема, показанная на Фиг. 4, может страдать от того факта, что ток ID включает в себя ток от ионизированной части 316 ПГКИ 128, а также токи вторичных электронов, возникающие от выброса вторичных электронов, эмитируемых, когда ионизированная часть 316 пучка ударяет в отклоняющую пластину 304. Выход вторичных электронов может меняться в зависимости от распределения размеров кластерных ионов в ионизированной части 316. Он также может меняться в зависимости от состояния ударяемой поверхности (чистота и др.) отклоняющей пластины 304. Таким образом, в схеме, описанной на Фиг. 4, амплитуда ID не является точным представлением тока от ионизированной части 316 ПГКИ 128. Обращаясь опять к Фиг. 5, улучшенное измерение ионизированной части 316 ПГКИ 128 может быть получено на отклоняющей пластине 304 путем добавления электрода 502 с решеткой подавления электронов, близкого к поверхности отклоняющей пластины 304, которая принимает ионизированную часть 316. Электрод 502 с решеткой подавления электронов весьма прозрачен к ионизированной части 316, но отрицательно смещен относительно отклоняющей пластины 304 посредством второго подавляющего напряжения VS2, обеспеченного источником питания 506 второго подавителя. Эффективное подавление вторичных электронов обычно достигается с помощью VS2 порядка нескольких десятков вольт. Путем подавления эмиссии вторичных электронов, токовая нагрузка источника питания 440 отклоняющего устройства снижается, и точность ID представления тока ионизированной части 316 ПГКИ 128 увеличивается. Решетка 502 подавления электронов изолирована от отклоняющей пластины 304 и поддерживается вблизи нее с помощью изолирующих опор 504.

Фиг. 6 представляет собой схему устройства 550 обработки нейтральным пучком согласно одному варианту осуществления данного изобретения, который использует пробу тока отклоненного ионного пучка, собранную в чашке Фарадея, в качестве компонента дозиметрической схемы. В этом варианте осуществления данного изобретения проба 556 ионизированной части 316 (как показано на Фиг. 5) захватывается чашкой Фарадея 558. Ток пробы IS, собранный в чашке Фарадея 558, течет по электрическому выводу 560 в датчик 562 тока для измерения, и данное измерение передается в контроллер 566 дозиметрии по электрическому кабелю 564. Чашка Фарадея 558 обеспечивает прекрасное измерение тока относительно того, что получается путем измерения тока ID, собранного отклоняющей пластиной 304 (как показано на Фиг. 5). Датчик 562 тока работает, по существу, как описано ранее для датчика 422 тока (показанного на Фиг. 5), за исключением того, что датчик 562 тока имеет увеличенную чувствительность, чтобы соответствовать меньшей амплитуде IS по сравнению с ID. Контроллер 566 дозиметрии работает, по существу, как описано ранее для контроллера 432 дозиметрии (показанного на Фиг. 5), за исключением того, что он приспособлен соответствовать меньшему измерению тока IS (по сравнению с ID на Фиг. 5).

Фиг. 7 представляет собой схему устройства 600 обработки нейтральным пучком согласно одному варианту осуществления данного изобретения, который использует механический сканер 602, чтобы сканировать пространственно обширную заготовку 160 сквозь нейтральный пучок 314, чтобы способствовать равномерному сканированию нейтральным пучком большой заготовки. Так как нейтральный пучок 314 не может сканироваться с помощью магнитных или электростатических технологий, когда обрабатываемая заготовка 160 является пространственно большей, чем размер нейтрального пучка 31, и требуется равномерная обработка заготовки 160, применяется механический сканер 602, чтобы сканировать заготовку 160 сквозь нейтральный пучок 314. Механический сканер 602 имеет держатель 616 заготовки для удерживания заготовки 160. Механический сканер 602 расположен так, что либо нейтральный пучок 314, либо ПГКИ 128 может попадать на заготовку 160 и/или держатель 616 заготовки. Когда отклоняющие пластины (302, 304) отклоняют ионизированную часть 316 из ПГКИ 128, заготовка 160 и/или держатель 616 заготовки получает только нейтральный пучок 314. Когда отклоняющие пластины (302, 304) не отклоняют ионизированную часть 316 из ПГКИ 128, заготовка 160 и/или держатель 616 заготовки получает полный ПГКИ 128. Держатель 616 заготовки является электропроводящим и изолирован от заземления изолятором 614. Ток пучка (IB) от ПГКИ 128, падающего на заготовку 160 и/или держатель 616 заготовки, течет в устройство 424 измерения тока пучка по электрическому выводу 168. Устройство 424 измерения тока пучка измеряет IB и передает данное измерение в контроллер 628 дозиметрии. Механический сканер 602 имеет приводящую основу 604, содержащую приводы, контролируемые контроллером 618 механического сканирования по электрическому кабелю 620. Механический сканер 602 имеет таблицу 606 Y-смещения, допускающую обратимое движение в Y-направлении 610, и он имеет таблицу 608 Х-смещения, допускающую обратимое движение в Х-направлении 612, обозначенном как направление внутрь и наружу плоскости бумаги Фиг. 7. Движения таблицы 606 Y-смещения и таблицы 608 Х-смещения вызываются приводами в приводящей основе 604 под контролем контроллера 618 механического сканирования. Контроллер 618 механического сканирования соединяется по электрическому кабелю 622 с контроллером 628 дозиметрии. Функция контроллера 628 дозиметрии включает в себя все функции, описанные ранее для контроллера 432 дозиметрии, с дополнительной функцией контроля механического сканера 602 посредством сообщения с контроллером 618 механического сканирования. На основании измеренной величины потока энергии нейтрального пучка контроллер 628 дозиметрии вычисляет и передает в контроллер 618 механического сканирования величины Y- и Х-сканирования, чтобы вызвать выполнение целого числа полных сканов заготовки 160 во время обработки заготовки 160, обеспечивая полную и равномерную обработку заготовки, и обеспечивает заданную дозу потока энергии на заготовку 160. Кроме применения нейтрального пучка и применения измерения величины потока энергии нейтрального пучка, такой алгоритм контроля сканирования является обыкновенным и обычно применяется, например, в обычных устройствах обработки ПГКИ и устройствах ионной имплантации. Заметим, что устройство 600 обработки нейтральным пучком может быть использовано как обычное устройство обработки ПГКИ путем регулировки отклоняющих пластин (302, 304) таким образом, что ПГКИ 128 проходит без отклонения, позволяя всему ПГКИ 128 облучать заготовку 160 и/или держатель 616 заготовки.

Фиг. 8 представляет собой схему устройства 700 обработки нейтральным пучком согласно одному варианту осуществления данного изобретения, который обеспечивает активную установку и контроль давления газа в камере 107 траектории пучка. Датчик 330 давления передает данные измерения давления из камеры 107 траектории пучка по электрическому кабелю 332 в контроллер 716 давления, который измеряет и показывает давление в камере траектории пучка. Давление в камере 107 траектории пучка зависит от баланса потока газа в камеру 107 траектории пучка и скорости откачки вакуумного насоса 146b. Газовый баллон 702 содержит газ 704 траектории пучка, который предпочтительно представляет собой такие же частицы газа, как исходный газ 112. Газовый баллон 702 имеет удаленно управляемый вентиль натекания 706 и трубу 708 подачи газа для натекания газа 704 траектории пучка в камеру 107 траектории пучка через газовый диффузор 710 в камере 107 траектории пучка. Контроллер 716 давления способен принимать входное заданное значение (путем ручного ввода или путем автоматического ввода из контроллера системы (не показан)) в форме заданного значения давления, заданного значения произведения давления на длину траектории пучка (на основании заданной длины траектории пучка) или заданного значения газовой толщины мишени. Как только для контроллера 716 давления установлено заданное значение, он регулирует поток газа 704 траектории пучка в камере 107 траектории пучка, поддерживая заданное значение во время работы устройства обработки нейтральным пучком. Когда применяется такая система регулировки давления траектории пучка, вакуумный насос 146b обычно имеет такую характеристику, что в отсутствии газа 704 траектории пучка, вводимого в камеру 107 траектории пучка, давление траектории пучка в камере 107 траектории пучка ниже, чем желаемое рабочее давление. Если давление траектории пучка выбирают так, что обычный ПГКИ 128 может проходить длину траектории пучка без избыточной диссоциации, устройство 700 обработки нейтральным пучком также может быть использовано в качестве обычного устройства обработки ПГКИ.

Фиг. 9 представляет собой схему устройства 800 обработки нейтральным пучком согласно одному варианту осуществления данного изобретения, который использует электростатическое зеркало для разделения заряженной и нейтральной частей пучка. Отражающий электрод 802 и электрод 804 с, по существу, прозрачной электрической решеткой расположены на расстоянии друг от друга, параллельно друг другу и под углом 45 градусов к оси 154 пучка. Отражающий электрод 802 и электрод 804 с, по существу, прозрачной электрической решеткой оба имеют отверстия (836 и 838 соответственно), центрированные по оси 154 пучка, позволяющие проход нейтрального пучка 314 сквозь данные два электрода. Источник питания 810 зеркала обеспечивает электрический потенциал зеркала VM сквозь зазор между отражающим электродом 802 и электродом 804 с, по существу, прозрачной электрической решеткой по электрическим выводам 806 и 808 с полярностью, указанной на Фиг. 9. VM выбирают немного больше, чем VAcc+VR (VR представляет собой замедляющий потенциал, требуемый, чтобы преодолеть тепловую энергию, которую струя газовых кластеров имеет до ионизации и ускорения - VR обычно имеет порядок нескольких кВ). Электрическое поле, генерируемое между отражающим электродом 802 и электродом 804 с, по существу, прозрачной электрической решеткой отклоняет ионизированную часть 814 ПГКИ 128 под углом приблизительно 90 градусов относительно оси 154. Чашка Фарадея 812 расположена для сбора ионизированной части 814 ПГКИ 128. Электрод 816 с решеткой подавления электронов предотвращает бегство вторичных электронов из чашки Фарадея 812. Электрод 816 с решеткой подавления смещается отрицательным третьим подавляющим напряжением VS3, подаваемым третьим источником питания 822 подавителя. Ток чашки Фарадея ID2, представляющий ток в отклоненной ионизированной части 814 ПГКИ 128 (и, таким образом, ток в ПГКИ 128), течет через электрический вывод 820 в датчик 824 тока. Датчик 824 тока измеряет ток ID2 и передает данное измерение в контроллер 830 дозиметрии по электрическому выводу 826. Функция контроллера 830 дозиметрии такая же, как описано ранее для контроллера 432 дозиметрии, за исключением того, что контроллер 830 дозиметрии принимает информацию об измерении тока ID2 от датчика 824 тока, и контроллер 830 дозиметрии не контролирует источник питания 440 отклоняющего устройства, но вместо этого контролирует источник питания 810 зеркала по электрическому кабелю 840. Путем установки источника питания 810 зеркала на выходное напряжение ноль вольт или VM, контроллер 830 дозиметрии контролирует, полный ПГКИ 128 или только нейтральный пучок 314 ПГКИ 128 передается на заготовку 160 и/или держатель 616 заготовки для измерения и/или обработки.

Фиг. 10 представляет собой схему устройства 940 обработки нейтральным пучком согласно одному варианту осуществления данного изобретения, который имеет то преимущество, что и ионизатор 122, и заготовка 160 работают при потенциале заземления. Заготовка 160 удерживается на траектории нейтрального пучка 314 с помощью электропроводящего держателя 162 заготовки, который, в свою очередь, поддерживается электропроводящим опорным элементом 954, прикрепленным к стенке резервуара 102 низкого давления. Соответственно, держатель 162 заготовки и заготовка 160 электрически заземлены. Ускоряющий электрод 948 извлекает газовые кластерные ионы из выходной диафрагмы 126 ионизатора и ускоряет газовые кластерные ионы сквозь потенциал напряжения VAcc, обеспеченный источником питания 944 ускорения, образуя ПГКИ 128. Корпус ионизатора 122 заземлен, и VAcc имеет отрицательную полярность. Атомы нейтрального газа в газовой струе 118 имеют маленькую энергию порядка нескольких десятков миллиэлектрон-вольт. Когда они конденсируются в кластеры, эта энергия накапливается пропорционально размеру кластера N. Достаточно большие кластеры приобретают существенные энергии от процесса конденсации, и после ускорения сквозь потенциал напряжения VAcc конечная энергия каждого иона превышает VAcc на эту энергию струи нейтральных кластеров. Ниже по ходу от укоряющего электрода 948 применяется замедляющий электрод 952, чтобы обеспечить замедление ионизированной части 958 ПГКИ 128. Замедляющий электрод 952 смещен на положительное замедляющее напряжение VR с помощью источника питания 942 замедляющего напряжения. Замедляющее напряжение VR в несколько кВ обычно подходит, чтобы гарантировать, что все ионы в ПГКИ 128 замедляются и возвращаются на ускоряющий электрод 948. Набор постоянных магнитов 950 прикреплен к ускоряющему электроду 948, чтобы обеспечить магнитное подавление вторичных электронов, которые иначе эмитируют в результате ударов возращенных ионов в ускоряющий электрод 948. Затвор 172 пучка представляет собой механический затвор пучка и находится выше по ходу от заготовки 160. Контроллер 946 дозиметрии контролирует дозу способа, получаемую заготовкой. Термический датчик 402 находится в положении, где пересекает нейтральный пучок 314 для измерения потока энергии нейтрального пучка, или в исходном положении для обработки нейтральным пучком заготовки при контроле контроллером 420 термического датчика. Когда термический датчик 402 находится в положении восприятия пучка, поток энергии нейтрального пучка измеряется и передается в контроллер 946 дозиметрии по электрическому кабелю 956. При обычном применении, контроллер 946 дозиметрии закрывает затвор 172 пучка и командует контроллеру 420 термического датчика измерять и сообщать поток энергии нейтрального пучка 314. Затем обычный механизм загрузки заготовки (не показан) помещает новую заготовку на держатель заготовки. На основании измеренного потока энергии нейтрального пучка контроллер 946 дозиметрии вычисляет время облучения для обеспечения заданной желаемой дозы энергии нейтрального пучка. Контроллер 946 дозиметрии командует термическому датчику 402 выйти из нейтрального пучка 314 и открывает затвор 172 пучка на вычисленное время облучения, и затем закрывает затвор 172 пучка в конце вычисленного времени облучения, заканчивая обработку заготовки 160.

Фиг. 11 представляет собой схему устройства 960 обработки нейтральным пучком согласно одному варианту осуществления данного изобретения, где ионизатор 122 работает при отрицательном потенциале VB, и где заготовка находится при потенциале заземления. Ускоряющий электрод 948 извлекает газовые кластерные ионы из выходной диафрагмы 126 ионизатора и ускоряет газовые кластерные ионы к потенциалу VAcc, обеспеченному источником питания 944 ускорения, формируя ПГКИ 128. Полученный ПГКИ 128 ускоряется потенциалом VAcc-VR. Заземленный электрод 962 замедляет ионизированную часть 958 ПГКИ 128 и возвращает ее на ускоряющий электрод 948.

Фиг. 14 представляет собой схему устройства 980 обработки нейтральным пучком согласно одному варианту осуществления данного изобретения. Этот вариант осуществления подобен показанному на Фиг. 8 за исключением того, что отделение заряженных компонентов пучка от нейтральных компонентов пучка выполняют посредством магнитного поля, а не электростатического поля. Обращаясь опять к Фиг. 14, магнитный анализатор 982 имеет магнитные полюсные наконечники, разделенные зазором, в котором присутствует магнитное В-поле. Опора 984 располагает магнитный анализатор 982 относительно ПГКИ 128 так, что ПГКИ 128 входит в зазор магнитного анализатора 982 так, что вектор В-поля перпендикулярен оси 154 ПГКИ 128. Ионизированная часть 990 ПГКИ 128 отклоняется магнитным анализатором 982. Перегородка 986 с диафрагмой 988 нейтрального пучка расположена относительно оси 154 так, что нейтральный пучок 314 может проходить через диафрагму 988 нейтрального пучка к заготовке 160. Ионизированная часть 990 ПГКИ 128 ударяет в перегородку 986 и/или стенки резервуара 102 низкого давления, где она диссоциирует на газ, который откачивается вакуумным насосом 146b.

Фиг. 12А-12D показывают сравнительные действия полного пучка и пучка с отделенными зарядами на тонкую золотую пленку. В экспериментальной установке золотую пленку, осажденную на кремниевую подложку, обрабатывали полным ПГКИ (заряженные и нейтральные компоненты), нейтральным пучком (заряженные компоненты отклонены от пучка) и отклоненным пучком, содержащим только заряженные компоненты. Все три состояния получали из одинакового исходного ПГКИ, 30 кВ ускоренного Ar ПГКИ. Газовая толщина мишени для траектории пучка после ускорения составляла приблизительно 2×1014 атомов газообразного аргона на см2. Для каждого из трех данных пучков экспозиции подбирали к полной энергии, переносимой полным пучком (заряженные плюс нейтральные компоненты) при ионной дозе 2×1015 газовых кластерных ионов на см2. Величины потока энергии каждого пучка измеряли, используя термический датчик, и продолжительности процессов подстраивали, чтобы гарантировать, что каждый образец получал одинаковую полную дозу тепловой энергии, эквивалентную данной дозе полного (заряженные плюс нейтральные компоненты) ПГКИ.

Фиг. 12А показывает скан атомно-силового микроскопа (AFM) 5 микрон на 5 микрон и статистический анализ образца исходной осажденной золотой пленки, который имел среднюю шероховатость Ra приблизительно 2,22 нм. Фиг. 12В показывает AFM скан золотой поверхности, обработанной полным ПГКИ - средняя шероховатость Ra уменьшилась приблизительно до 1,76 нм. Фиг. 12С показывает AFM скан поверхности, обработанной с использованием только заряженных компонентов пучка (после отклонения от нейтральных компонентов пучка) - средняя шероховатость Ra увеличилась до приблизительно 3,51 нм. Фиг. 12D показывает AFM скан поверхности, обработанной с использованием только нейтральных компонентов пучка (после того, как заряженные компоненты отклонили от нейтрального пучка) - средняя шероховатость Ra сгладилась приблизительно до 1,56 нм. Образец (В), обработанный полным ПГКИ, является более гладким, чем исходная осажденная пленка (А). Образец (D), обработанный нейтральным пучком, является более гладким, чем образец (В), обработанный полным ПГКИ. Образец (С), обработанный заряженным компонентом пучка, является существенно более шероховатым, чем исходная осажденная пленка. Данные результаты поддерживают заключение, что нейтральные части пучка способствуют сглаживанию, а заряженные компоненты пучка способствуют огрублению.

Фиг. 13А и 13В показывают сравнительные результаты обработки полным ПГКИ и нейтральным пучком пленки лекарственного препарата, осажденной на кобальт-хромовом образце, используемом для определения скорости вымывания лекарства для протеза коронарного сосуда, вымывающего лекарство. Фиг. 13А показывает образец, облученный с применением аргонового ПГКИ (включая заряженные и нейтральные компоненты), ускоренного с использованием VAcc 30 кВ при дозе облучения 2×1015 газовых кластерных ионов на см2. Фиг. 13В показывает образец, облученный с применением нейтрального пучка, полученного из аргонового ПГКИ, ускоренного с использованием VAcc 30 кВ. Нейтральным пучком облучали с дозой тепловой энергии, эквивалентной дозе 30 кВ ускоренного пучка 2×1015 газовых кластерных ионов на см2 (эквивалент определяли с помощью датчика потока тепловой энергии пучка). Облучение обоих образцов выполняли через кобальт-хромовую близкую маску, имеющую матрицу круглых отверстий диаметром приблизительно 50 микрон, позволяющих передачу пучка. Фиг. 13А представляет собой фотографию сканирующего электронного микроскопа области образца 300 микрон на 300 микрон, который облучали через данную маску полным пучком. Фиг. 13В представляет собой фотографию сканирующего электронного микроскопа области образца 300 микрон на 300 микрон, который облучали через данную маску нейтральным пучком. Образец, показанный на Фиг. 13А, демонстрирует повреждение и травление, вызванное полным пучком, где он проходил через маску. Образец, показанный на Фиг. 13В, демонстрирует отсутствие видимых эффектов. В тестах скорости вымывания в физиологическом солевом растворе образцы, обработанные как образец на Фиг. В (но без маски), демонстрировали прекрасную (замедленную) скорость вымывания по сравнению с образцами, обработанными подобно образцу на Фиг. 13А (но без маски). Данные результаты подтверждают заключение, что обработка нейтральным пучком способствует желаемому эффекту замедленного вымывания, тогда как обработка полным ПГКИ (заряженные плюс нейтральные компоненты) способствует потере массы лекарства за счет травления при худшем эффекте на скорость вымывания (менее замедленная).

Чтобы дополнительно проиллюстрировать способность ускоренного нейтрального пучка, полученного из ускоренного ПГКИ, помогать в прикреплении лекарства к поверхности и обеспечивать модификацию лекарства таким образом, что это приводит к замедлению вымывания лекарства, выполняли дополнительные тесты. Кремниевые образцы приблизительно 1 см на 1 см (1 см2) готовили из хорошо полированных, чистых, полупроводникового качества, кремниевых пластин для использования в качестве подложек для осаждения лекарства. Раствор лекарственного средства Rapamycin (каталожный номер R-5000, LC Laboratories, Woburn, MA 01801, USA) получали растворением 500 мг Rapamycin в 20 мл ацетона. Затем использовали пипетку, чтобы нанести приблизительно 5 микролитровых капель данного раствора лекарства на каждый образец. После атмосферного испарения и вакуумной сушки раствора он оставлял круглые осадки Rapamycin диаметром приблизительно 5 мм на каждом из кремниевых образцов. Образцы делили на группы и оставляли необлученными (контрольные) или облучали в различных условиях облучения нейтральным пучком. Группы затем помещали в индивидуальные кюветы (кювета на образец) с человеческой плазмой на 4,5 часа, позволяя лекарству вымываться в плазму. После 4,5 часов образцы удаляли из кювет с плазмой, промывали дистиллированной водой и сушили в вакууме. Измерения массы выполняли на следующих стадиях процесса: 1) масса чистого кремниевого образца перед осаждением; 2) после осаждения и сушки, масса образца плюс осажденное лекарство; 3) масса после облучения; и 4) масса после вымывания в плазме и вакуумной сушки. Таким образом, для каждого образца доступна следующая информация: 1) исходная масса порции осажденного лекарства на каждом образце; 2) масса потери лекарства во время облучения каждого образца; и 3) масса потери лекарства во время вымывания в плазме для каждого образца. Для каждого облученного образца было доказано, что потеря лекарства во время облучения была незначительной. Потеря лекарства во время вымывания в человеческой плазме показана в таблице 1. Группы были следующие: контрольная группа - облучение не проводили; группа 1 - облученная нейтральным пучком, полученным из ПГКИ, ускоренного с VAcc 30 кВ. Доза энергии пучка, облучавшего группу 1, была эквивалентна дозе ускоренного при 30 кВ пучка 5 × 1014 газовых кластерных ионов на см2 (эквивалент энергии определяли с помощью датчика потока тепловой энергии пучка); группа 2 - облученная нейтральным пучком, полученным из ПГКИ, ускоренного с VAcc 30 кВ. Доза энергии пучка, облучавшего группу 2, была эквивалентна дозе ускоренного при 30 кВ пучка 1×1014 газовых кластерных ионов на см2 (эквивалент энергии определяли с помощью датчика потока тепловой энергии пучка); и группа 3 - облученная нейтральным пучком, полученным из ПГКИ, ускоренного с VAcc 25 кВ. Доза энергии пучка, облучавшего группу 3, была эквивалентна дозе ускоренного при 25 кВ пучка 5×1014 газовых кластерных ионов на см2 (эквивалент энергии определяли с помощью датчика потока тепловой энергии пучка).

Таблица 1
Группа
[доза]
{VAcc}
Контрольная
[0001]
Группа 1
[5×1014]
{30 кВ}
Группа 2
[1×1014]
{30 кВ}
Группа 3
[5×1014]
{25 кВ}
Образец # Исходное нанесение (мкг) Потери вымывания
(мкг)
Потери вымывания
%
Исходное нанесение (мкг) Потери вымывания
(мкг)
Потери вымывания
%
Исходное нанесение (мкг) Потери вымывания
(мкг)
Потери вымывания
%
Исходное нанесение (мкг) Потери вымывания
(мкг)
Потери вымывания
%
1 83 60 72 88 4 5 93 10 11 88 - 0
2 87 55 63 100 7 7 102 16 16 82 5 6
3 88 61 69 83 2 2 81 35 43 93 1 1
4 96 72 75 - - - 93 7 8 84 3 4
Среднее 89 62 70 90 4 5 92 17 19 87 2 3
σ 5 7 9 3 9 13 5 2
Величина ρ 0,00048 0,014 0,00003

Таблица 1 показывает, что для каждого случая облучения нейтральным пучком (группы 1-3) потеря лекарства во время 4,5-часового вымывания в человеческую плазму была гораздо меньше, чем для необлученной контрольной группы. Это указывает, что облучение нейтральным пучком приводит в лучшей адгезии лекарства и/или снижает скорость вымывания по сравнению с необлученным лекарством. Величины ρ (гетерогенный непарный Т-тест) показывают, что для каждой из облученных нейтральным пучком групп 1-3, относительно контрольной группы, различие в удерживании лекарства после вымывания в человеческой плазме было статистически существенным.

Фиг. 15А-15С показывают сравнительные эффекты полного пучка (заряженные плюс незаряженные компоненты) и пучка с отделенным зарядом на монокристаллическую кремниевую пластину, которая может обычно применяться в полупроводниковых приложениях. Кремниевая подложка имела исходный естественный оксидный слой приблизительно 1,3 нм. В разных случаях кремниевую подложку обрабатывали, используя полный ПГКИ (заряженные плюс незаряженные компоненты), нейтральный пучок, полученный из полного ПГКИ (заряженные компоненты удалены из пучка отклонением), и пучок заряженных кластеров, содержащий только заряженные компоненты ПГКИ после их отделения от нейтральных компонентов. Все три случая получали из одинаковых исходных состояний ПГКИ, 30 кВ ускоренный ПГКИ, образованный из смеси 98% Ar и 2% О2. Для каждого из трех пучком дозы излучения доводили до полной энергии, переносимой полным пучком (заряженные плюс незаряженные компоненты) при ионной дозе 2×1015 газовых кластерных ионов на см2. Величины потоков энергии каждого пучка измеряли, используя термический датчик, и продолжительности способа регулировали, чтобы гарантировать, что каждый образец получал одинаковую полную дозу тепловой энергии, эквивалентную дозе полного ПГКИ (заряженные плюс незаряженные компоненты). Три образца оценивали путем приготовления срезов с последующим наблюдением с помощью просвечивающей электронной микроскопии (ПЭМ).

Фиг. 15А представляет собой ПЭМ изображение 1000 сечения кремниевой подложки, облученной полным ПГКИ (заряженные плюс незаряженные компоненты). Облучение падало на кремниевую подложку по направлению сверху изображения к низу изображения. Перед сечением для получения ПЭМ изображения верхнюю поверхность (облученную поверхность) кремниевой подложки покрывали эпоксидным слоем, чтобы облегчить операцию сечения и чтобы избежать повреждения подложки во время процесса сечения. В ПЭМ изображении 1000 верхний эпоксидный слой 1006 виден вверху изображения. Облучение формировало аморфную область 1004, содержащую кремний и кислород, имеющую минимальную толщину приблизительно 4,6 нм. Грубая граница раздела 1008, имеющая изменчивость в виде пиков приблизительно 4,8 нм, формировалась между аморфной областью 1004 и нижележащим монокристаллическим кремнием 1002 в результате процесса облучения.

Фиг. 15В представляет собой ПЭМ изображение 1020 сечения кремниевой подложки, облученной отделенным заряженным компонентом ПГКИ (только заряженная часть). Облучение падало на кремниевую подложку по направлению сверху изображения к низу изображения. Перед сечением для получения ПЭМ изображения верхнюю поверхность (облученную поверхность) кремниевой подложки покрывали эпоксидным слоем, чтобы облегчить операцию сечения и чтобы избежать повреждения подложки во время процесса сечения. В ПЭМ изображении 1020 верхний эпоксидный слой 1026 виден вверху изображения. Облучение формировало аморфную область 1024, содержащую кремний и кислород, имеющую минимальную толщину приблизительно 10,6 нм. Грубая граница раздела 1028, имеющая изменчивость в виде пиков приблизительно 5,9 нм, формировалась между аморфной областью 1024 и нижележащим монокристаллическим кремнием 1022 в результате процесса облучения.

Фиг. 15С представляет собой ПЭМ изображение 1040 сечения кремниевой подложки, облученной нейтральной частью (заряженные компоненты отделены отклонением и отброшены). Облучение падало на кремниевую подложку по направлению сверху изображения к низу изображения. Перед сечением для получения ПЭМ изображения верхнюю поверхность (облученную поверхность) кремниевой подложки покрывали эпоксидным слоем, чтобы облегчить операцию сечения и чтобы избежать повреждения подложки во время процесса сечения. В ПЭМ изображении 1040 верхний эпоксидный слой 1046 виден вверху изображения. Облучение формировало аморфную область 1044, содержащую кремний и кислород, имеющую, по существу, равномерную толщину приблизительно 3,0 нм. Гладкая граница раздела 1048, имеющая изменчивость в виде пиков на атомном уровне, формировалась между аморфной областью 1044 и нижележащим монокристаллическим кремнием 1042 в результате процесса облучения.

Результаты обработки, показанные на Фиг. 15А-15С, свидетельствуют, что в полупроводниковых приложениях применение ускоренного нейтрального пучка, полученного из ускоренного ПГКИ путем отделения зарядов, дает прекрасные границы раздела между обработанной и не обработанной облучением областями по сравнению с полным ПГКИ или только с заряженной частью ПГКИ. Эти данные также показывают, что гладкая равномерная оксидная пленка может быть образована на кремнии при использовании нейтрального пучка, полученного из ПГКИ, и что такая пленка не имеет грубой границы раздела, часто связанной с применением обычного ПГКИ. Без желания быть связанным конкретной теорией, считается, что данное улучшение вероятно происходит из-за устранения кластеров промежуточного размера или из-за устранения всех или большинства кластеров из пучка.

Фиг. 16 представляет собой график 1060, показывающий результаты измерения глубинного профиля с помощью масс-спектрометрии вторичных ионов (SIMS) при неглубокой имплантации бора в кремниевую подложку, предварительно сформированную с использованием нейтрального пучка согласно одному варианту осуществления данного изобретения. График изображает концентрацию бора 1062, измеренную в атомах бора/см3 (атомы/см3), как функцию глубины, измеренной в нм. Используя устройство, подобное устройству, показанному на Фиг. 4, 30 кВ ускоренный ПГКИ формировали из смеси 99% Ar м 1% диборана (В2Н6). Давление камеры торможения было 80 psi (5,5×105 паскалей), поток из сопла был 200 стандартных см3/минуту (3,3 стандартных см3/сек). Ток полного пучка (заряженные плюс нейтральные компоненты) перед разделением путем отклонения составлял приблизительно 0,55 микроА (мкмА). Давление в траектории пучка поддерживали при приблизительно 6,9×10-5 Торр (9,2×10-3 паскалей), и фоновый газ, образующий это давление, представлял собой, по существу, аргон/диборан. Газовая толщина мишени из аргона/диборана для области между ускорителем и заготовкой была приблизительно 2,23×1014 газовых мономеров аргона/диборана/см2, и было обнаружено, что ускоренный нейтральный пучок у мишени состоял, по существу, из полностью диссоциированных нейтральных мономеров. Используя электростатическое отклонение, отклоняли все заряженные частицы с оси пучка и из пучка, образуя, по существу, полностью диссоциированный нейтральный пучок. Таким образом, данный нейтральный пучок представлял собой ускоренный пучок нейтральных мономеров аргона/диборана. Дозиметрию выполняли, используя термический датчик, чтобы калибровать дозу нейтрального пучка, подаваемую на кремниевую подложку, так что нейтральный пучок осаждал энергию, эквивалентную энергии, которая осаждалась бы дозой облучения 6,3×1014 газовых кластерных ионов/см2 с помощью ускоренного (30 кВ) ПГКИ, содержащего и заряженные, и незаряженные частицы (без нейтрализации путем отделения заряда). Глубинный профиль, показанный на Фиг. 16, показывает, что имплантация ионов бора нейтральным пучком, полученная с использованием нейтрального пучка, приготовленного из ПГКИ, приводит к очень мелкой имплантации бора. Глубина перехода, оцененная из глубины концентрации 1018 атомов бора/см3, находится на глубине приблизительно 12 нм, очень мелкий переход. Интегрирование дозы бора по глубине дает поверхностную плотность приблизительно 7,94×1014 атомов бора/см2.

Фиг. 17 представляет собой ПЭМ изображение 1100 сечения кремниевой подложки, облученной нейтральной частью (заряженные компоненты отделены отклонением и сбросом), полученной из ПГКИ. Используя устройство, подобное показанному на Фиг. 4, 30 кВ ускоренный ПГКИ формировали из смеси 99% Ar и 1% диборана (В2Н6). Давление в камере торможения было 99 psi (6,05×105 паскалей), поток из сопла составлял 200 стандартных см3/минуту (3,3 стандартных см3/сек). Ток полного пучка (заряженные плюс нейтральные компоненты до разделения отклонением) был приблизительно 0,55 микроА (мкА). Давление в траектории пучка поддерживали приблизительно 6,8×10-5 Торр (9,07×10-3 паскалей), и фоновый газ, образующий это давление, представлял собой, по существу, аргон/диборан. Газовая толщина мишени из аргона/диборана для области между выходной диафрагмой ускорителя и заготовкой была приблизительно 2,2×1014 газовых мономеров аргона/диборана/см2, и было обнаружено, что ускоренный нейтральный пучок у мишени состоял, по существу, из полностью диссоциированных нейтральных мономеров. Используя электростатическое отклонение, отклоняли все заряженные частицы с оси пучка и из пучка, образуя нейтральный пучок, который был, по существу, полностью диссоциированным. Таким образом, данный нейтральный пучок представлял собой ускоренный пучок нейтральных мономеров аргона/диборана. Дозиметрию выполняли, используя термический датчик, чтобы калибровать полную дозу нейтрального пучка, подаваемую на кремниевую подложку, так что нейтральный пучок осаждал энергию, эквивалентную энергии, которая осаждалась бы дозой облучения 1,8×1014 газовых кластерных ионов/см2 с помощью ускоренного (30 кВ) ПГКИ, содержащего и заряженные, и незаряженные частицы (без нейтрализации путем отделения заряда). Облучение падало на кремниевую подложку в направлении от верха изображения к низу изображения. Перед сечением для получения ПЭМ изображения, верхнюю поверхность (облученную поверхность) кремниевой подложки покрывали эпоксидным слоем, чтобы облегчить операцию сечения и избежать повреждения подложки во время процесса сечения. Обращаясь опять к Фиг. 17, на ПЭМ изображении 1100 верхний эпоксидный слой 1106 виден вверху изображения. Облучение формировало аморфную область 1104, содержащую кремний и бор, имеющую, по существу, равномерную толщину приблизительно 1,9 нм. Гладкая граница раздела 1108, имеющая изменчивость в виде пиков на атомном уровне, формировалась между аморфной областью 1104 и нижележащим монокристаллическим кремнием 1102 в результате процесса облучения. Известно, что ПГКИ облучение предшествующего уровня техники полупроводниковых материалов для введения легирующих примесей, вызывающих дефрмации частиц и т.п. образует грубые границы раздела между обработанной пленкой и нижележащей подложкой, подобные границе раздела 1008, показанной на Фиг. 15А. Показано, что диборан может применяться для эффективного легирования полупроводника бором с образованием высококачественной границы раздела между легированной пленкой и нижележащей подложкой. Путем использования других газов, содержащих другие легирующие примеси и/или деформирующие решетку частицы, частицы для увеличения предела твердой растворимости легирующей примеси или частицы, способствующие аморфизации поверхности, могут быть получены высококачественные пленки с прекрасными границами раздела между пленкой и подложкой по сравнению с обычными ПГКИ технологиями, где присутствие кластерных ионов промежуточного размера в пучке может давать грубую границу раздела. Некоторые газы, содержащие легирующие примеси, которые могут применяться в одиночку или в смесях для введения легирующих примесей, представляют собой диборан (В2Н6), трифторид бора (BF3), фосфин (РН3), пентафторид фосфора (PF5), арсин (AsH3) и пентафторид мышьяка (AsF5), и, в качестве примеров без ограничения, могут применяться для введения легирующих атомов в газовые кластеры. Некоторые газы, которые могут применяться в одиночку или в смесях для введения деформирующих решетку частиц, представляют собой герман (GeH4), тетрафторид германия (GeF4), силан (SiH4), тетрафторид кремния (SiF4), метан (СН4). Некоторые газы, которые могут применяться в одиночку или в смесях для облегчения аморфизации, представляют собой, без ограничения, аргон (Ar), герман (GeH4), тетрафторид германия (GeF4) и фтор (F2). Некоторые газы, которые могут применяться в одиночку или в смесях для улучшения растворимости легирующей примеси, представляют собой герман (GeH4) и тетрафторид германия (GeF4). Газы, содержащие легирующие примеси, газы, содержащие деформирующие решетку частицы, газы, содержащие аморфизирующие частицы, и/или газы, содержащие частицы для улучшения растворимости легирующей примеси (и возможно инертные или другие газы), могут применяться в смесях для одновременного формирования комбинаций преимуществ с помощью способа с ускоренным нейтральным пучком. На Фиг. 17 линия, соединяющая численное обозначение 1108 с ее целью, изменяет цвет, чтобы сохранять контрастность в областях фигуры, имеющих разный фон.

Фиг. 18 показывает график 1200 измерения глубинного профиля, полученного после использования ускоренного нейтрального пучка, приготовленного из ПГКИ, для травления пленки диоксида кремния (SiO2) на кремниевой подложке и травления кремниевой подложки. Используя устройство, подобное показанному на Фиг. 4, 30 кВ ускоренный ПГКИ формировали, используя аргон. Давление в камере торможения было 28 psi (1,93×105 паскалей), поток из сопла составлял 200 стандартных см3/минуту (3,3 стандартных см3/сек). Ток полного пучка (заряженные плюс нейтральные компоненты до разделения отклонением) был приблизительно 0,50 микроА (мкА). Аргоновая газовая толщина мишени для области между ускорителем и заготовкой была приблизительно 1,49×1014 газовых мономеров аргона/см2, и было обнаружено, что ускоренный нейтральный пучок у мишени состоял, по существу, из полностью диссоциированных нейтральных мономеров. Используя электростатическое отклонение, отклоняли все заряженные частицы с оси пучка и из пучка, образуя нейтральный пучок. Таким образом, данный нейтральный пучок представлял собой, по существу, ускоренный нейтральный пучок мономеров аргона. Дозиметрию выполняли, используя термический датчик, чтобы калибровать полную дозу нейтрального пучка, подаваемую на кремниевую подложку, так что нейтральный пучок осаждал энергию, эквивалентную энергии, которая осаждалась бы дозой облучения 2,16×1016 газовых кластерных ионов/см2 с помощью ускоренного (30 кВ) ПГКИ, содержащего и заряженные, и незаряженные частицы (без нейтрализации путем отделения заряда). Пленку диоксида кремния (SiO2) (толщиной приблизительно 0,5 микрон [мкм]) на кремниевой подложке частично маскировали узкой (шириной приблизительно 0,7 мм) полосой из ленты полимидной пленки и затем облучали ускоренным нейтральным пучком. После облучения полиимидную ленту удаляли. Обращаясь опять к Фиг. 18, график 1200 измерения глубинного профиля получали, используя профилометр TENCOR Alpha-Step 250 для измерения ступенчатого профиля в направлении вдоль поверхности пленки SiO2 (на кремниевой подложке) и поперек области, маскированной лентой полимидной пленки, из-за травления, возникающего от ускоренного нейтрального пучка. Плато 1202 представляет нетравленую поверхность SiO2 под полиимидной пленкой (после удаления пленки и очистки), тогда как области 1204 показывают травленые участки. Ускоренный нейтральный пучок производит глубину травления приблизительно 2,4 микрон (мкм), вытравливая все на пути через 0,5 микронную пленку SiO2 и дополнительно 1,9 микрон в нижележащей кристаллической кремниевой подложке, образуя ступеньку, показанную на графике 1200 измерения глубинного профиля. Аргон или другие инертные газы могут быть использованы в качестве исходных газов для травления физическим способом. Используя реакционный исходный газ или используя исходный газ, содержащий реакционный газ в смеси, можно выполнять реакционное травление, используя нейтральный пучок. Типичными реакционными газами, которые могут быть использованы в одиночку или в смеси с инертными газами, являются (без ограничения) кислород (О2), диоксид углерода (СО2), азот (N2), аммиак (NH3), фтор (F2), хлор (Cl2), гексафторид серы (SF6), тетрафторметан (CF4) и другие конденсирующиеся галогенсодержащие газы.

Фиг. 19А и 19В представляют собой ПЭМ изображения, показывающие получение аморфных слоев в кристаллическом полупроводниковом материале путем облучения ускоренными нейтральными пучками, полученными из ПГКИ. Перед сечением для получения ПЭМ изображения верхнюю поверхность каждого образца покрывали эпоксидным слоем, чтобы облегчить операцию сечения и избежать повреждения поверхности во время процесса сечения. Естественный оксид спонтанно образуется на воздухе или в воде, когда обнажается голый кремний.

Фиг. 19А представляет собой ПЭМ изображение 1220 сечения кремниевой подложки с пленкой естественного SiO2. В ПЭМ изображении 1220 верхний эпоксидный слой 1226 виден вверху изображения. Тонкая (приблизительно 1,3 нм) пленка естественного оксида 1224 видна на нижележащей кремниевой подложке 1222.

Фиг. 19В представляет собой ПЭМ изображение 1240, показывающее результаты облучения кремниевой подложки с помощью ускоренного аргонового нейтрального пучка, полученного из ПГКИ. Кремниевую пластину, имеющую естественную оксидную пленку, подобную показанной на Фиг. 19А, очищали 1% водным раствором плавиковой кислоты, чтобы удалить естественный оксид. Очищенную кремниевую подложку облучали, используя нейтральный пучок, полученный из 30 кВ ускоренного ПГКИ (заряженные компоненты удаляли из пучка отклонением), образованного из аргона. Дозу облучения подстраивали по энергии к полной энергии, переносимой полным пучком (заряженные плюс нейтральные компоненты) при ионной дозе 5×1014 газовых кластерных ионов на см2, используя термический датчик, чтобы подстраивать полную энергию, осажденную нейтральным пучком, к энергии полного пучка с 5×1014 газовых кластерных ионов на см2. Обращаясь опять к Фиг. 19В, ПЭМ изображение 1240 показывает верхний эпоксидный слой 1246, аморфную пленку 1244 толщиной 2,1 нм на поверхности кремния, образованную путем облучения ускоренным нейтральным пучком, перекрывающую материал кристаллической кремниевой подложки 1242. Гладкая граница раздела 1248, имеющая изменчивость в виде пиков на атомном уровне, формировалась между аморфной пленкой 1244 и нижележащим кристаллическим кремниевым материалом 1242 в результате процесса облучения. Это показывает, что инертный газ аргон (Ar) может быть использован для формирования аморфного слоя в кристаллическом полупроводниковом материале. Некоторые другие газы (без ограничения), которые могут быть использованы для формирования аморфных слоев путем их применения в образовании ускоренных нейтральных пучков данного изобретения, включают в себя ксенон (Хе), герман (GeH4) и тетрафторид германия (GeF4). Такие исходные газы могут применяться в одиночку и в смесях с аргоном или другими инертными газами. На Фиг. 19В линия, соединяющая численное обозначение 1248 с ее целью, меняет цвет, чтобы сохранять контрастность в областях фигуры, имеющих разный фон.

Фиг. 20А и 20В представляют собой ПЭМ изображения, показывающие рост оксидной пленки на кремнии при использовании ускоренных нейтральных пучков, полученных из ПГКИ. Перед сечением для получения ПЭМ изображения верхнюю поверхность каждого образца покрывали эпоксидным слоем, чтобы облегчить операцию сечения и избежать повреждения поверхности во время процесса сечения.

Фиг. 20А представляет собой ПЭМ изображение 1260, показывающее результаты облучения кремниевой подложки ускоренным нейтральным пучком, полученным из ПГКИ. Кремниевую пластину, имеющую естественную оксидную пленку, подобную показанной на Фиг. 19А, очищали в 1% водном растворе плавиковой кислоты, чтобы удалить естественный оксид. Очищенную обнаженную кремниевую подложку затем облучали, используя нейтральный пучок, полученный из 30 кВ ускоренного ПГКИ (заряженные компоненты удалены из пучка путем отклонения), образованного из исходной газовой смеси 98% Ar и 2% О2. Доза облучения нейтральным пучком была энергетически эквивалентна (энергетическую эквивалентность определяли с помощью датчика потока тепловой энергии пучка) 30 кВ ускоренному ПГКИ при ионной дозе 2,4×1013 газовых кластерных ионов на см2. Обращаясь опять к Фиг. 20А, ПЭМ изображение 1260 показывает верхний эпоксидный слой 1266, оксидную пленку 1264 толщиной 2 нм на поверхности кремния, образованную путем облучения ускоренным нейтральным пучком, покрывающую материал кристаллической кремниевой подложки 1262. Гладкая граница раздела 1268, имеющая изменчивость в виде пиков на атомном уровне, формировалась между оксидной пленкой 1264 и нижележащим кристаллическим кремниевым материалом 1262 в результате процесса облучения. На Фиг. 20А линия, соединяющая численное обозначение 1268 с ее целью, меняет цвет, чтобы сохранять контрастность в областях фигуры, имеющих разный фон.

Фиг. 20В представляет собой ПЭМ изображение 1280, показывающее результаты облучения кремниевой подложки ускоренным нейтральным пучком, полученным из ПГКИ. Кремниевую пластину, имеющую естественную оксидную пленку, подобную показанной на Фиг. 19А, очищали в 1% водном растворе плавиковой кислоты, чтобы удалить естественный оксид. Очищенную обнаженную кремниевую подложку затем облучали, используя нейтральный пучок, полученный из 30 кВ ускоренного ПГКИ (заряженные компоненты удалены из пучка путем отклонения), образованного из исходной газовой смеси 98% Ar и 2% О2. Доза облучения нейтральным пучком была энергетически эквивалентна (энергетическую эквивалентность определяли с помощью датчика потока тепловой энергии пучка) 30 кВ ускоренному ПГКИ при ионной дозе 4,7×1014 газовых кластерных ионов на см2. Обращаясь опять к Фиг. 20В, ПЭМ изображение 1280 показывает верхний эпоксидный слой 1286, оксидную пленку 1284 толщиной 3,3 нм на поверхности кремния, образованную путем облучения ускоренным нейтральным пучком, покрывающую материал кристаллической кремниевой подложки 1282. Гладкая граница раздела 1288, имеющая изменчивость в виде пиков на атомном уровне, формировалась между оксидной пленкой 1284 и нижележащим кристаллическим кремниевым материалом 1282 в результате процесса облучения. Это показывает, что нейтральный пучок, содержащий кислород, может применяться для формирования оксидного слоя на поверхности полупроводникового материала. Толщина выросшей пленки может меняться путем изменения дозы облучения. Путем использования исходных газов, содержащих другие реакционные частицы, при формировании ускоренного нейтрального пучка могут быть выращены другие типы пленок на полупроводниковых или других поверхностях, например (без ограничения), могут применяться кислород (О2), азот (N2) или аммиак (NH3) в одиночку или в смесях с аргоном (Ar) или другими инертными газами. На Фиг. 20В линия, соединяющая численное обозначение 1288 с ее целью, меняет цвет, чтобы сохранять контрастность в областях фигуры, имеющих разный фон.

Фиг. 21 показывает график 1300 измерения глубинного профиля, полученного после использования ускоренного нейтрального пучка, приготовленного из ПГКИ, для осаждения алмазоподобной углеродной пленки на кремниевой подложке. Используя устройство, подобное показанному на Фиг. 4, 30 кВ ускоренный ПГКИ формировали, используя исходную газовую смесь из 10% метана (СН4) и 90% аргона. Было обнаружено, что ускоренный нейтральный пучок у мишени состоял, по существу, из полностью диссоциированных нейтральных мономеров. Используя электростатическое отклонение, отклоняли все заряженные частицы с оси пучка и из пучка, образуя нейтральный пучок метана/аргона. Таким образом, данный нейтральный пучок представлял собой, по существу, ускоренный нейтральный пучок мономеров метана/аргона. Дозиметрию выполняли, используя термический датчик, чтобы калибровать полную дозу нейтрального пучка, подаваемую на кремниевую подложку, так что нейтральный пучок осаждал энергию, эквивалентную энергии, которая осаждалась бы дозой облучения 2,8 микроА газовых кластерных ионов/см2 с помощью ускоренного (30 кВ) ПГКИ, содержащего и заряженные, и незаряженные частицы (без нейтрализации путем отделения заряда). Кремниевую подложку частично маскировали узкой (шириной приблизительно 1 мм) полосой из ленты полимидной пленки и затем облучали ускоренным нейтральным пучком в течение 30 минут, осаждая алмазоподобную углеродную пленку. После облучения маску удаляли. Обращаясь опять к Фиг. 21, график 1300 измерения глубинного профиля получали, используя профилометр TENCOR Alpha-Step 250 для измерения ступенчатого профиля в направлении вдоль поверхности кремниевой подложки и поперек области, маскированной лентой полимидной пленки, из-за осаждения, возникающего от ускоренного нейтрального пучка. Плоская область 1302 представляет исходную поверхность кремниевой подложки под полиимидной пленкой (после удаления пленки и очистки), тогда как области 1304 показывают участки осажденного алмазоподобного углерода. Ускоренный нейтральный пучок дает глубину осаждения приблизительно 2,2 микрон (мкм), образуя ступеньку, показанную на графике 1300 измерения глубинного профиля. Скорость осаждения была приблизительно 0,45 нм/сек на каждый микроА/см2 тока ПГКИ (энергетический эквивалент, определяемый термическим датчиком, как указано выше в этом параграфе). В других тестах смеси с 5% и 7,5% СН4 в аргоне давали аналогичные результаты, но с меньшими скоростями осаждения, вызванными меньшими процентами СН4 в исходном газе. Выбор газовой смеси и дозы позволяет выполнять воспроизводимое осаждение пленок заданной толщины. СН4, один или в смеси с аргоном или другим инертным газом, является эффективным исходным газом для осаждения углерода при использовании ускоренного пучка нейтральных мономеров. Другими типичными газами, которые могут быть использованы в одиночку или в смеси с инертными газами для осаждения пленки с использованием ускоренных пучков нейтральных мономеров, являются (без ограничения) герман (GeH4), тетрафторид германия (GeF4), силан (SiH4) и тертафторид кремния (SiF4).

Показано, что применение ускоренного нейтрального пучка, полученного из ускоренного ПГКИ путем отделения заряженных компонентов от незаряженных компонентов, возможно в многочисленных приложениях в области обработки полупроводников с дополнительным преимуществом в том, что граница раздела между слоем, сформированным за счет облучения, и нижележащим полупроводником является очень гладкой и лучше результатов, получаемых обычным ПГКИ облучением.

Хотя данное изобретение было описано в отношении кремниевых полупроводниковых материалов, изобретателям понятно, что оно равным образом применимо к другим полупроводниковым материалам, включая германий, и полупроводниковым соединениям, включающим в себя, без ограничения, элементы III-V группы и II-VI группы, и родственным материалам, и подразумевается, что объем данного изобретения предназначен включать в себя эти материалы.

Изобретателям понятно, что, хотя данное изобретение было показано для типичных задач, полезных для таких процессов, как сглаживание, травление, рост пленок, осаждение пленок, аморфизация и легирование при использовании кремниевых полупроводниковых пластин, изобретателям понятно, что преимущества данного изобретения не ограничиваются только сделанными способами на обнаженных полупроводниковых поверхностях, но также равным образом применимы для обработки участков электрических схем, электрических устройств, оптических элементов, интегральных схем, устройств микроэлектрических механических систем (MEMS) (и их частей) и других устройств, которые обычно конструируют, используя обычные современные технологии на кремниевых подложках, других полупроводниковых подложках и подложках из других материалов, и предполагается, что объем данного изобретения включает в себя такие приложения.

Хотя преимущества применения нейтрального пучка данного изобретения для обработки, свободной от электрического заряжения, были описаны в отношении обработки различных электроизолирующих и/или не электропроводящих материалов, таких как изолирующие лекарственные покрытия, диэлектрические пленки, такие как оксиды и нитриды, изолирующие, ингибирующие коррозию покрытия, полимеры, органические пленки, стекла, керамика, изобретателям понятно, что все материалы с плохой или низкой электропроводностью могут иметь выгоду от использования нейтрального пучка данного изобретения в качестве замены для обработки обрабатывающими технологиями, переносящими заряд, такими как ионные пучки, плазма и т.д., и предполагается, что объем данного изобретения включает в себя такие материалы. Также изобретателям понятно, что обработка нейтральным пучком выгодна не только потому, что он снижает характеристики заряжения, но также для обработки многих материалов, которые являются электропроводящими, где играют роль другие преимущества обработки нейтральным пучком, особенно обработки пучком нейтральных мономеров, который вызывает меньшее повреждение поверхности, лучшее сглаживание и более гладкие границы раздела между обработанной и нижележащей необработанной областями, даже в металлах и высокопроводящих материалах. Предполагается, что объем данного изобретения включает в себя обработку таких материалов.

Хотя преимущества применения нейтрального пучка данного изобретения для обработки, свободной от электрического заряжения, были описаны в отношении обработки различных изолирующих и/или не электропроводящих материалов, изобретателям понятно, что выгоды обработки нейтральным пучком, свободным от заряда, применимы равным образом к обработке электропроводящих, полупроводящих или слабо проводящих материалов, которые находятся в форме покрытий или слоев, или других форм, покрывающих изолирующие слои или расположенных на изолирующих подложках, где, по меньшей мере, слабо проводящие материалы не имеют надежного заземления или других путей для удаления поверхностных зарядов, которые могут наводиться посредством обработки, использующей технологии обработки с переносом заряда. В таких случаях заряжение, по меньшей мере, слабо проводящих материалов во время обработки может вызывать повреждение этих материалов или нижележащих изолирующих материалов. Заряжения и повреждения можно избежать путем использования обработки нейтральным пучком данного изобретения. Изобретатели подразумевают, что объем данного изобретения включает в себя обработку таких расположений разнородных материалов, где, по меньшей мере, слабо проводящий материал покрывает изолирующий материал.

Хотя данное изобретение было описано в отношении различных вариантов осуществления, следует понимать, что данное изобретение также способно к широкому множеству дополнительных и других вариантов осуществления внутри сущности и объема данного изобретения.

1. Способ обработки поверхности заготовки, содержащий этапы, на которых:
обеспечивают камеру пониженного давления;
формируют пучок газовых кластерных ионов, содержащий газовые кластерные ионы внутри данной камеры пониженного давления;
ускоряют газовые кластерные ионы, чтобы сформировать пучок ускоренных газовых кластерных ионов вдоль траектории пучка внутри камеры пониженного давления;
стимулируют фрагментацию и/или диссоциацию, по меньшей мере, части ускоренных газовых кластерных ионов вдоль траектории пучка посредством увеличения интервала скоростей ионов в пучке ускоренных газовых кластерных ионов;
удаляют заряженные частицы из траектории пучка, чтобы сформировать ускоренный нейтральный пучок вдоль траектории пучка в камере пониженного давления;
удерживают заготовку на траектории пучка и
обрабатывают, по меньшей мере, часть поверхности заготовки путем ее облучения ускоренным нейтральным пучком.

2. Способ по п. 1, в котором на этапе удаления удаляют, по существу, все заряженные частицы из траектории пучка.

3. Способ по п. 1, в котором нейтральный пучок, по существу, свободен от кластеров промежуточного размера, имеющих от 10 до 1500 мономеров.

4. Способ по п. 1, в котором нейтральный пучок состоит, по существу, из газа от пучка газовых кластерных ионов.

5. Способ по п. 1, в котором этап стимуляции включает в себя этап, на котором повышают ускоряющее напряжение на этапе ускорения.

6. Способ по п. 1, в котором этап стимуляции включает в себя этап, на котором улучшают эффективность ионизации при формировании пучка газовых кластерных ионов.

7. Способ по п. 1, в котором этап стимуляции включает в себя этап, на котором вводят один или более газообразных элементов, используемых при формировании пучка газовых кластерных ионов, в камеру пониженного давления, чтобы увеличить давление вдоль траектории пучка.

8. Способ по п. 1, в котором этап стимуляции включает в себя этап, на котором увеличивают размер диафрагмы отсекателя, используемой на этапе формирования пучка газовых кластерных ионов.

9. Способ по п. 1, в котором этап стимуляции включает в себя этап, на котором облучают пучок ускоренных газовых кластерных ионов или нейтральный пучок лучистой энергией.

10. Способ по п. 1, в котором нейтральный пучок, обрабатывающий, по меньшей мере, часть поверхности заготовки, включает в себя значительное число мономеров, имеющих энергию от 1 эВ до нескольких тысяч эВ.

11. Способ по п. 1, дополнительно содержащий этап, на котором перемещают заготовку в держателе заготовки, чтобы обрабатывать множество участков поверхности.

12. Способ по п. 1, дополнительно содержащий этап, на котором сканируют заготовку в держателе заготовки, чтобы обрабатывать протяженные участки поверхности.

13. Способ по п. 1, в котором на этапе удерживания вводят заготовку, которая содержит любое из:
медицинского устройства или компонента;
медицинского инструмента;
медицинского устройства или компонента, имеющего лекарственное покрытие;
вымывающего лекарство медицинского устройства или компонента;
полупроводникового материала;
металлического материала;
пластикового материала;
керамического материала;
электрического устройства;
оптического устройства;
устройства с интегральной микросхемой;
электроизолирующего материала;
материала с высоким электрическим сопротивлением или
устройства с микроэлектромеханической системой.

14. Способ по п. 1, в котором на этапе удаления отклоняют заряженные частицы от траектории пучка, используя электрическое или магнитное поле.

15. Способ по п. 14, в котором на этапе удаления отклоняют заряженные частицы от траектории пучка, используя отклоняющую пластину или электростатическое зеркало.

16. Способ по п. 14, в котором на этапе удаления используют напряжение смещения, чтобы отклонять заряженные частицы от траектории пучка, и который дополнительно содержит этапы, на которых измеряют ток пучка в месте заготовки при неработающем напряжении смещения и регулируют напряжение смещения до достижения нулевого измеренного тока пучка.

17. Способ по п. 1, в котором на этапе облучения облучают заготовку заданной дозой.

18. Способ по п. 17, дополнительно содержащий этапы, на которых:
обеспечивают датчик тепловой энергии и
применяют датчик тепловой энергии, чтобы измерять вызванный пучком нагрев посредством ускоренного нейтрального пучка.

19. Способ по п. 1, в котором на этапе облучения облучают заготовку заданной дозой и который дополнительно содержит этапы, на которых:
обеспечивают датчик тепловой энергии; и
применяют данный датчик тепловой энергии, чтобы измерять вызванный пучком нагрев посредством ускоренного нейтрального пучка для регулирования заданной дозы.

20. Устройство получения ускоренного нейтрального пучка, содержащее:
вакуумную камеру;
средство генерации пучка газовых кластерных ионов внутри вакуумной камеры;
средство ускорения пучка газовых кластерных ионов для формирования пучка ускоренных газовых кластерных ионов вдоль траектории пучка;
средство стимуляции фрагментации или, по меньшей мере, частичной диссоциации газовых кластеров вдоль траектории пучка ускоренных газовых кластерных ионов с получением ускоренных нейтральных газовых кластеров и/или газовых мономеров; и
средство удаления заряженных частиц с траектории пучка с формированием ускоренного нейтрального пучка вдоль траектории пучка, причем нейтральный пучок содержит ускоренные нейтральные газовые кластеры и/или ускоренные нейтральные газовые мономеры.

21. Устройство по п. 20, дополнительно содержащее средство удерживания заготовки для перемещения заготовки, чтобы облучать множество участков поверхности заготовки ускоренным нейтральным пучком.

22. Устройство по п. 21, в котором средство удерживания заготовки дополнительно содержит средство сканирования для сканирования заготовки сквозь траекторию пучка, чтобы облучать протяженный участок поверхности заготовки ускоренным нейтральным пучком.

23. Устройство по п. 20, в котором средство удаления удаляет заряженные частицы, используя электрическое поле или магнитное поле, чтобы отклонять заряженные частицы.

24. Устройство по п. 20, в котором ускоренный нейтральный пучок состоит, по существу, из нейтральных газовых мономеров.

25. Устройство по п. 20, в котором средство генерации пучка газовых кластерных ионов содержит средство ионизации газовых кластеров путем электронной бомбардировки, и в котором дополнительно средство стимуляции содержит средство управления данным средством ионизации, чтобы обеспечивать условия интенсивной ионизации, что создает высокую долю многократно ионизованных газовых кластеров в пучке газовых кластерных ионов.

26. Устройство по п. 20, в котором средство стимуляции содержит любое средство из:
средства обеспечения фонового газа для столкновения с пучком газовых кластерных ионов;
средства нагрева ускоренного пучка газовых кластерных ионов;
средства облучения ускоренного пучка газовых кластерных ионов или
средства для пересечения пучка газовых кластерных ионов с газовой струей.

27. Устройство по п. 20, в котором данное средство стимуляции стимулирует образование нейтральных мономеров из ускоренных газовых кластерных ионов.

28. Устройство по п. 20, дополнительно содержащее средство удерживания заготовки и ее размещения на траектории пучка так, чтобы облучать, по меньшей мере, участок поверхности заготовки ускоренным нейтральным пучком.

29. Устройство по п. 28, в котором средство удерживания заготовки приспособлено для удерживания заготовки, которая представляет собой любую заготовку из:
заготовки полупроводниковой пластины;
заготовки медицинского устройства или компонента;
заготовки медицинского устройства, имеющего лекарственное покрытие;
заготовки медицинского инструмента или компонента;
электроизолирующей заготовки;
заготовки материала с высоким электрическим сопротивлением;
металлической заготовки;
пластиковой заготовки;
керамической заготовки;
заготовки оптического устройства;
заготовки электрического устройства или
заготовки микроэлектромеханической системы.

30. Устройство по п. 20, дополнительно содержащее средство регулировки дозиметрии нейтрального пучка.

31. Устройство по п. 30, в котором средство регулировки дозиметрии нейтрального пучка содержит средство термического измерения для измерения вызываемого пучком нагрева.

32. Устройство по п. 31, в котором средство регулировки дозиметрии нейтрального пучка содержит средство захвата и измерения электрического тока в, по меньшей мере, образце заряженных частиц, удаляемых из пучка.

33. Устройство по п. 31, в котором средство термического измерения приспособлено измерять вызываемый пучком нагрев вдоль траектории пучка.

34. Изделие, имеющее поверхность, причем, по меньшей мере, участок упомянутой поверхности обработан путем облучения ускоренным нейтральным пучком в соответствии со способом по любому из пп. 1-19.

35. Изделие по п. 34, в котором, по меньшей мере, участок упомянутой поверхности, улучшенный путем облучения, был очищен, сглажен, стерилизован, отшлифован, вытравлен, имплантирован, легирован, модифицирован, сделан более или менее смачиваемым, сделан более или менее биологически активным или совместимым путем облучения.

36. Изделие по п. 34, причем изделие содержит любое из:
медицинского устройства или компонента;
биологического материала;
медицинского инструмента;
медицинского устройства или компонента, имеющего лекарственное покрытие;
вымывающего лекарство медицинского устройства или компонента;
полупроводникового материала;
металлического материала;
пластикового материала;
керамического материала;
электрического устройства;
оптического устройства;
устройства с интегральной микросхемой;
электроизолирующего материала;
материала с высоким электрическим сопротивлением или
устройства с микроэлектромеханической системой.

37. Изделие по п. 34, дополнительно содержащее полупроводниковый материал, имеющий слой, образованный на полупроводниковом материале, и границу раздела, расположенную между ними, причем граница раздела имеет гладкость атомарного уровня, при этом слой сформирован путем облучения нейтральным пучком, образованным из газовых мономеров, полученных из пучка газовых кластерных ионов.

38. Изделие по п. 34, дополнительно содержащее монокристаллический полупроводниковый материал, имеющий аморфный поверхностный слой, и границу раздела, расположенную между монокристаллическим полупроводниковым материалом и аморфным слоем, причем граница раздела имеет гладкость атомарного уровня, при этом слой сформирован путем облучения нейтральным пучком, образованным из газовых мономеров, полученных из пучка газовых кластерных ионов.

39. Изделие по п. 34, дополнительно содержащее подложку и углеродный слой, осажденный непосредственно на подложке, причем углеродный слой сформирован путем облучения нейтральным пучком, образованным из газовых мономеров, полученных из пучка газовых кластерных ионов.

40. Изделие по п. 39, в котором углеродный слой является алмазоподобным углеродом.



 

Похожие патенты:

Изобретение относится к космической технике, к классу электрореактивных двигателей. Двигатель содержит автономный источник низкотемпературной плазмы, систему улавливания нейтральных частиц и регенерации ионов, разделитель потоков электронов и ионов, плазменный ускоритель.

Изобретение относится к области электрофизики, а именно к электродуговым устройствам для получения низкотемпературной плазмы (плазмотронам). Электродуговой трехфазный плазмотрон содержит три осесимметричные дуговые камеры, объединенные общей смесительной камерой, снабженной соплом, и коллектор подачи рабочего газа.

Изобретение относится к области плазменно-электромагнитного воздействия на различные виды материальной среды, расположенной как на близком, так и значительном расстояниях от излучателя.

Изобретение относится к области авиационной техники. Электрохимический генератор низкотемпературной плазмы для поджига, стабилизации и оптимизации работы сверхзвуковой камеры сгорания содержит термохимический реактор со штуцером для подвода газа с химически активным компонентом.

Изобретение относится к области переработки твердых отходов и может быть использовано на промышленных предприятиях, а также в коммунальном хозяйстве. Электродуговой плазмотрон постоянного тока для установок плазменной переработки отходов включает соосные полые цилиндрические водоохлаждаемые электроды (анод и катод), выполненные с возможностью вихревой подачи плазмообразующего газа в зазор между анодом и катодом через форсунку, выполненную из изолирующего термостойкого материала, соосной с анодом и катодом с отверстиями для подачи газа, при этом отверстия выполнены в плоскости, перпендикулярной оси электродов по касательной к внутренней поверхности форсунки.

Изобретение относится к измерительной технике и может быть использовано для диагностики неоднородного слоя плазмы, контроля параметров плазмы в технологических установках, в исследованиях по моделированию плазмы ионосферы.

Изобретение относится к области электротехники, конкретно к плазменным источникам электрической энергии, использующим воду и/или дымовые (СО2 - 80%) газы в качестве рабочего вещества.

Изобретение относится к устройству для плазменной обработки газообразной среды. Устройство содержит генерирующее плазму устройство для создания в газообразной среде плазмы, диэлектрическую структуру, сформированную в виде трубки из плавленого кварца, причем плазма способна переноситься в диэлектрическую структуру, и камеру взаимодействия, включающую внутреннее пространство и стенку.

Изобретение относится к двигательным установкам (ДУ) малой тяги для коррекции орбит космических аппаратов (КА). ДУ содержит размещенные друг над другом ускорители плазмы (УП) с ускоряющими электродами: катодом (3) и анодом (4), а также узлами подачи рабочего тела: шашек (7), снабженных пружинными толкателями (8).

Изобретение относится к области плазменной техники. Плазменная горелка содержит каскад между катодом и анодом.

Группа изобретений относится к медицинской технике. Устройство для генерирования потока нетеплового газообразного компонента выполнено с возможностью обработки ротового участка тела человека или животного посредством отбеливания или чистки зубов. Устройство содержит размещенные в корпусе газовую капсулу, реакционный генератор, источник электрической энергии и возбуждающее средство, а также средство управления. Газовая капсула содержит газ под давлением и формирует поток газа через реакционную камеру при выпуске из капсулы. Реакционный генератор выполнен с возможностью возбуждения в нем газа, выпущенного из капсулы, для генерирования газообразного компонента. Возбуждающее средство электрически соединено с источником электрической энергии для возбуждения газа в реакционном генераторе для формирования газообразного компонента. Устройство имеет такие размеры и вес, которые обеспечивают возможность его удерживания и управления пользователем вручную, а также направления потока газообразного компонента для обработки обрабатываемого участка объекта или тела человека или животного. Средство управления обеспечивает избирательный выпуск газа из газовой капсулы для формирования потока газа. Аппарат для генерирования потока нетеплового газообразного компонента содержит устройство для генерирования потока нетеплового газообразного компонента и зарядный блок, содержащий зарядную емкость высокого давления газа для подачи газа в газовую капсулу устройства и/или электрическое зарядное средство для зарядки источника электрической энергии в указанном устройстве. Достигается повышение удобства использования за счет портативности устройства, которое можно удерживать и которым можно управлять вручную, что позволяет использовать устройство в домашних или хирургических/медицинских условиях. 2 н. и 16 з.п. ф-лы, 15 ил.

Изобретение относится к плазменной технике, а именно к устройствам для плазменного осаждения пленок, и может быть использовано для изготовления тонкопленочных солнечных элементов, фоточувствительных материалов для оптических сенсоров и тонкопленочных транзисторов большеразмерных дисплеев, для нанесения защитных покрытий. Технический результат - обеспечение возможности осаждать однородные функциональные слои тонкопленочных солнечных элементов большой площади. Для нанесения функциональных слоев тонкопленочных солнечных элементов используют газоразрядное устройство на основе низкочастотного индукционного разряда трансформаторного типа. Устройство содержит разделенные газовыми шлюзами две и более реакционные камеры с подвижной лентообразной подложкой и разрядные камеры с магнитопроводами, выполненные таким образом, что в каждой реакционной камере горит четыре и более плазменных витка низкочастотного индукционного разряда трансформаторного типа, охватывая лентообразную подложку, генерируя ионы и радикалы в непосредственной близости от обрабатываемой поверхности подложки, и взаимно влияя друг на друга, приводя к выравниванию пространственного распределения плотности ионов и радикалов в реакционной камере и, соответственно, к осаждению однородных пленок. 1 з.п. ф-лы, 3 ил.

Изобретение относится к антенной технике. Плазменная антенна содержит плазменный генератор, формирующий плазменное образование, и первичный источник электромагнитных волн, при этом анод плазменного генератора выполнен в виде конического диффузора, состоящего из корпуса и конической вставки, диэлектрически соединенной с подводящим патрубком, поверхность которого выполнена перфорированной, кроме того, первичный источник радиоволн установлен на оси антенны на расстоянии от точки генерации плазменного образования, где γ=2,8…3,0 - постоянная величина, k - волновое число, b - максимальное расстояние от плазменного генератора до границы области с критической концентрацией электронов, θк - угол между осью антенны и направлением распространения плазмы с максимальной скоростью. Технический результат заключается в обеспечении возможности снижения уровня боковых лепестков диаграммы направленности. 2 ил.

Изобретение относится к плазмотронам. Плазмотрон содержит корпус 1, изоляционную втулку 2, сопло 3, электрод 4, размещенный в конической полости 18 электрододержателя 5, завихритель 17 с канавками и газоподводящим каналом 6, направленным в кольцевую конусообразную полость 7, где установлен многоступенчатый газодинамический фильтр 8 (ГДФ), выполненный в виде двух расположенных соосно один за другим дефлекторов - непроницаемый дефлектор 9 и перфорированный дефлектор 10 и трех кольцевых камер - кольцевая цилиндрическая камера 11, кольцевая распределительная камера 12 и кольцевая вихревая камера 13. Поверхность первого непроницаемого дефлектора 9 выполнена плоской непроницаемой для прохода плазмообразующего газа (ПОГ) и образует совместно с уступом 14 электрододержателя 5 кольцевую цилиндрическую камеру 11, а часть торцевой поверхности дефлектора 9 образует совместно с криволинейной внутренней поверхностью изоляционной втулки 2 кольцевой канал 15 с расширением в направлении движения потока ПОГ. Поверхность второго дефлектора 10 перфорирована сквозными цилиндрическими каналами 16, которые соединяют камеру 12 с камерой 13. Изобретение позволяет равномерно распределить ПОГ по газовоздушному тракту и сопловому узлу плазмотрона для стабилизации плазменной дуги. 4 ил.

Изобретение относится к области металлургии, в частности к электротермической технике. Плазменно-дуговая сталеплавильная печь постоянного тока содержит керамический тигель с ванной металла, вертикальный плазмотрон, установленный в своде печи, и подовый электрод, установленный соосно вертикальному плазмотрону. Вертикальный плазмотрон установлен в своде печи посредством шарнира, а печь снабжена приводом возвратно-поступательного перемещения упомянутого плазмотрона с возможностью его перемещения на угол 20-30° к вертикальной оси тигля. Изобретение позволяет повысить производительность печи, уменьшить время плавки стали и расход электроэнергии. 3 ил.

Изобретение может быть применено как импульсный источник нейтронов и рентгеновского излучения. Устройство состоит из импульсного источника питания и газоразрядной камеры с электродами и изотопами водорода. Электроды выполнены в виде коаксиальных расположенных один в другом электропроводных тел вращения с криволинейными образующими. Вокруг токоввода внутреннего электрода-анода установлен изолятор с диаметром, меньшим диаметра рабочей части анода и цилиндрической поверхностью между торцами электродов в камере. Токоввод катода - корпуса камеры размещен возле его центрального отверстия, через которое пропущены изолятор и токоввод анода. Для катода и анода зеркально симметрично выполнены дополнительные токовводы и изолятор соответственно возле дополнительного центрального отверстия катода. Два токоввода анода - трубчатые с зеркально симметричными многозаходными спиралями из наклонных прорезей, заполненных твердыми изоляторами. Спирали расположены по высоте в зонах напротив соответствующих зазоров между торцами электродов в камере. Технический результат - повышение термоядерного кпд. 1 ил.

Изобретение относится к области измерений оптическими методами электрофизических параметров плазмы, в том числе плотности электронов и напряженности электрического поля и их распределений Способ измерения пространственного распределения электронной плотности плазмы включает измерение интенсивности излучения плазмы из различных по координате областей межэлектродного промежутка на длине волны, соответствующей спектральной атомарной линии или молекулярной полосе, которую выбирают таким образом, чтобы интенсивность излучения такой линии или полосы преимущественно определялась возбуждением излучающего состояния прямым электронным ударом или быстрыми по сравнению с периодом ВЧ-поля каскадными процессами, с последующим определением пространственного распределения электронной плотности плазмы методом численного моделирования плазмы. 1 з.п. ф-лы, 5 ил.

Изобретение относится к устройствам для генерации плазмы, конкретно к электроразрядным импульсным источникам ионов плазмы для работы в составе вакуумных нейтронных трубок, и может быть использовано в ускорительной технике или в геофизическом приборостроении, например в импульсных генераторах нейтронов народно-хозяйственного назначения, предназначенных для исследования скважин методами импульсного нейтронного каротажа. Технический результат - рост величины и стабильности генерируемого потока нейтронов, а также продление ресурса работы вакуумной нейтронной трубки. В источнике ионов для нейтронной трубки, состоящем из соосно расположенных катода, насыщенного изотопами водорода, поджигающего электрода, отделенного от катода изолятором, и анода, поджигающий электрод и рабочая часть катода расположены на одной поверхности изолятора, а нерабочая часть катода расположена с противоположной стороны изолятора, образуя конденсатор, обкладками которого являются поджигающий электрод с поверхностью изолятора, по которой развивается поджигающий разряд, и нерабочая часть катода, толщина изолятора d выбирается из соотношения C 0 = ε 0 ε d = 10 − 5 ÷ 10 − 6   Ф / м 2 , где С0 - удельная поверхностная емкость, ε0 - электрическая постоянная, ε - диэлектрическая проницаемость. 1 ил.

Изобретение относится к области генерирования в атмосферном воздухе низкотемпературной плазмы. Способ генерирования модулированного коронного разряда заключается в том, что в разрядном промежутке, образованном анодом и катодом, с резко неоднородным распределением электрического поля как в области анода, так и катода, создают линейный коронный факельный разряд. Собственный объемный заряд, формируемый в разрядном промежутке, нейтрализуют путем импульсно-периодических срезов импульса напряжения с наносекундной длительностью, причем частоту модуляции напряжения на катоде регулируют от 20 кГц и выше. Устройство содержит высоковольтный источник квазинепрерывного тока, анод и катод, выполненные, например, в виде нихромовых нитей. Анод соединен с положительным выводом источника непосредственно, а катод соединен с отрицательным выводом источника через управляемый коммутатор. Технический результат - повышение величины среднего значения разрядного тока, а также повышение мощности, вкладываемой в разрядный промежуток. 2 н.п. ф-лы, 3 ил.

Изобретение относится к физике плазмы, преимущественно к физике и технике процессов, сопутствующих сверхзвуковому обтеканию тел высокоскоростными потоками плазмы, и может быть использовано, в частности, при моделировании структуры и излучения ударно сжатого слоя потока при движении космических аппаратов, планетных зондов, метеоритов и других космических объектов (КО) в атмосфере Земли на высотах 30-200 км и выше. Технический результат - возможность получать ударно сжатый слой плазмы и структуру течений около модельного тела заданной формы* высокоскоростных, до 50 км/с и выше, потоков практически любого химического и ионизационного состава. В способе получения ударно сжатого слоя плазмы путем взаимодействия высокоэнтальпийной струи с расположенным соосно со струей модельным телом с последующей регистрацией структуры возмущенной области вблизи модельного тела, новым является то, что высокоэнтальпийную струю формируют при струйном диафрагменном разряде в вакууме в режиме течения струй эрозионной плазмы на межэлектродном промежутке при условиях размещения модельного тела с характерным размером Р, найденным из соотношения Р≤0,5 R, где R - радиус отверстия в кольцевом электроде, см; на промежутке плазмообразующая диафрагма - кольцевой электрод (катод и/или анод), при скорости V∞ набегающего плазменного потока на модельное тело на промежутке диафрагма - кольцевой электрод, и при компонентном составе потока плазмы, соответствующем выбранному составу плазмообразующего материала внутренней стенки расходного сопла в отверстии диафрагмы: химических элементов и стехиометрических коэффициентов исходной формулы вещества, а структуру возмущенной области УСС регистрируют оптическими методами по величине отхода ударной волны УСС и спектральными методами по свечению УСС. Раскрыто также устройство для реализации способа. 2 н. и 1 з.п. ф-лы, 3 ил., 1 табл.
Наверх