Фазовращатель

 

Изобретение может быть использовано для построеиия устройств точной задержки импульсов. Цель изобретения - повышение быстродействия установки различных значений фазовых сдвигов. Фазовращатель содержит опорный генератор 1, генератор 2 импульсных последовательностей, коммутатбры 3 и 7, блок 4 временной привязки, триггеры 5 и 14, регистры 6 к 9, элемент И 15, линию 16 задержки. Требуемьй фазовый сдвиг, определяемый численным значением кода числа сдвигов относительно идущей импульсной последовательности , которой соответствует код предьщущего фазового сдвига, ус- .танавливается не путем последовательного подключения следующих или предыдущих импульсных последовательностей, в зависимости от направления сдвига, а путем параллельного суммирования по модулю два в сумматор 8 кода требуемого числа сдвигов и кода, определяющего предьщущий фазовый сдвиг.Время установки фазовых сдвигов не зависит от величины устанавливаемого фазового сдвига и определяется только быстродействием используемых злементов, т.е. выбором элементной базы. 1 з.п. ф-лы, 2 ил. с (Л

СОЮЗ СОВЕТСКИХ

СОЦИАЛИСТИЧЕСКИХ

РЕСПУБЛИН (l9) (ll) (51) 4 С 01 R 25 04

ОПИСАНИЕ ИЗОБРЕТЕНИЯ

К АВТОРСКЬМУ СВИДЕТЕЛЬСТВУ

ГОСУДАРСТВЕННЫЙ КОМИТЕТ СССР

00 ДЕЛАМ ИЗОБРЕТЕНИЙ И ОТНРЫТИЙ (21) 4164809/24"21 (22) 22.12 ° 86 (46) 30.06.88. Бюл. N 24 (72) В.В.Райда (53) 621.317.373(088.8) (56) Авторское свидетельство СССР

У 1213435, кл. G 01 R 25/04, 1984.

Авторское свидетельство СССР

Ф 1255957, кл. G 01 R 25/04, 1985 .(54) ФАЗОВРАЩАТЕЛЬ (57) Изобретение может быть использовано для построения устройств точ" ной задержки импульсов. Цель изобретения — повышение быстродействия установки различных значений фазовых сдвигов. Фазовращатель содержит опорный генератор 1, генератор 2 импульсных последовательностей, коммутато" ры 3 и 7, блок 4 временной привязки, триггеры 5 и 14, регистры 6 и 9, элемент И 15, линию 16 задержки. Требуемый фазовый сдвиг, определяемый численным значением кода числа сдвигов относительно идущей импульсной последовательности, которой соответствует код предыдущего фазового сдвига, ус,танавливается не путем последовательного подключения следующих или предыдущих импульсных последовательностей, в зависимости от направления сдвига, а путем параллельного суммирования по модулю два в сумматор 8 кода требуемого числа сдвигов и кода, определяющего предыдущий фазовый сдвиг.Время установки фазовых сдвигов не зависит от величины устанавливаемого фа- а зового сдвига и определяется только быстродействием используемых элементов, т.е. выбором элементной базы.

1 з.п. ф-лы, 2 ил. С:

1406514

Изобретение относится к радиотех» нике и может быть использовано для построения устройств точной задержки импульсов, используемых устройств в системах фазовой автоподстройки.

Цель изобретения — повышение быстродействия установки различных значений фазовых сдвигов»

На фиг.1 изображена структурная схема фазовращателя; на фиг.2 " структурная схема второго коммутатора.

1 Фазовращатель содержит опорный ге нератор 1, генератор 2 импульсных по- следовательностей, первый коммута тор .3, блок 4 временной привявки,триг гер 5, первый регистр б, второй коммутатор 7, сумматор 8, буферный регистр 9, выходную клемму 10, входные клеммы 11.1-11.п Код числа сдвигов 20 первую 12 и вторую 13 входные (управ-! ляющие) клеммы управления сигналов,вто рой триггер 14, элемент И 15 и ли.нию l6 задержки, Второй коммутатор 7 содержит п элементов 2И-ИЛИ-НЕ 1 7,1".17,п и

1 !

I n элементов 2И-НЕ l8,l-l8,п.

Выход опорного генератора 1 соеди, нен с входом генератора 2 импулъсных

1 последовательностей, .выходы которого соединены с информационными входами первого коммутатора 3, выход которого соединен с выходной клеммой l0 фазовращателя и с первым входом бло:ка 4 временной привязки, второй вход !

35 которого соединен с клеммой 12 первого управляющего входа фазовращателя ! и с объединенными тактовым входом триггера 5 и тактовым входом первого регистра 6, прямые и инверсные выхо- 40 ды которого соединены с соответствующими первыми и вторыми входами второго коммутатора 7, выходы которого соединены с соответствующими вторыми входами сумматора 8, выходы котор1мх соединены45 с соответствующими информационными входами буферного регистра 9, управляющий вход которого соединен с объединенными выходом блока 4 временной привязки и входом установки первого регистра 6 в нулевое состояние. Первый и второй управляющие входы второго коммутатора 7 соединены соответственно с прямым и инверсным выходами триггера 5, информационный .вход которого соединен с клеммой 13 второго. управляющего входа фазовращателя,Инверсный выход триггера 5 соединен с входом переноса младшего разряда второго входа сумматора 8. Выходы буферного регистра 9 соединены с соответствующими управляющими входами первого коммутатора 3 и соответствующими первыми входами сумматора 8. Информационные входы первого регистра 6. соединены с входными клеммами 11.111.п фазовращателя.

В блоке 4 временной привязки S вход второго триггера 14 соединен с вторым входом блока 4, а прямой вы" ход второго триггера J4 соединен с первым входом элемента, И 15, второй вход которого соединен с первым входом блока 4 временной привязки.

Выход элемента И 15 соединен с входом линии 16 задержки, выход которой соединен с выходом блока 4 и R-входом второго триггера. 14.

Во втором коммутаторе 7 выходи:. элементов 2И-2ИЛИ-НЕ l ?.l-l 7.п соединены с объединенными первыми и вторым входами соответствующих элементов

2И-НЕ 18.1-18.п, выходы которых соединены с выходами второго коммутатора 7. Первые входы элементов 2И-2ИЛИНЕ 17.1"17.п соединены с первой группой входов коммутатора 7. Вторые вхо- ды элементов 2И-2ИЛИ-НЕ 17,1-17,п объединены и соединены с вторым управляющим входом коммутатора, Третьи входы элементов 2И-2ИЛИ-НЕ 17,1"17.п соединены со второй группой входов второго коммутатора 7. Четвертые вхо" ды элементов 2И-2ИЛИ-НЕ 17.1-17.п объединены между собой и соединены с первыМ управляющим входом второго коммутатора 7.

Фазовращатель работает следующим образом.

В исходном состоянии первый регистр 6 находится в нулевом состоянии, при котором на его прямых и инверсных выходах в каждом разряде соответственно присутствует потенциал логического нуля (логического "0 ) и потенциал логической единицы (логической "1").

Триггер 5 в исходном состоянии находится в положении, при котором на

его прямом и инверсном выходах присутствуют соответственно потенциалы логической "1" и логического "0". При этом сигнал логической "1", поступающий на первый управляющий вход второго коммутатора 7, обеспечивает в исходном состоянии подключение пря3 14065 мых вьиодов. первого регистра 6 к .со" ответствующим входам второго слагаемого сумматора 8. Код числа (0...000) с прямых выходов первого регистра 6 через второй коммутатор 7 поступает на входы второго, слагаемого сумматора 8. Буферный регистр 9 в исходном состоянии находится в нулевом состоя" нии, при котором на его выходах в каждом разряде присутствует сигнал; логического "0" (код чила 0...000).

Следовательно, на выходах разрядов суммы сумматора 8 формируется код (0...000). Второй триггер 14 блока 4 15 временной привязки находится в состоянии, при котором на его прямом выходе присутствует сигнал логического

"0".и элемент И 15 по первому входу оказывается запертым, Тактовые им" пульсы с выхода опорного генератора 1 поступают на вход генератора 2 импульсных последовательностей, имеющего N выходов, на каждом из которых формируется последовательность импуль.25 сов с длительностью То импульсов и периодом То следования. Временной сдвиг каждой последовательности относительно предыдущей равен Т Тр/N.

Последовательность, пропускаемая пер- 30 вым коммутатором 3 на клемму 10 фазовращателя, определяется состоянием буферного регистра 9 которое изменяется каждый раз, когда на управляющий вход буферного регистра 9 с выхода блока 4 временной привязки поступит управляющий сигнал, В исходном состоянии первый коммутатор 3 подключает на клемму 10 фазовращателя последовательность импульсов, которой соответ-.40 ствует код Кр (0...000) на управляющих входах первого коммутатора 3.

Предположим, что на первую управляющую клемму 12 фазовращателя поступает управляющий сигнал, сопровождаемый 45 коДом К (0001) на группе входов

"Код числа сдвигов" на входных клем" мах 11,1-11.п и сигналом "Плюс" (логическая "1") на вторую управляющую клемму 13 фазовращателя..По фронту этого сигнала код К» записывается в . первый регистр б. Состояние триггера 5, а следовательно, и второго коммутатора 7 остается без изменения, .ч так KBK HG информационный вход триггера 5 поступает сигнал логической ".1".

Двоичный. код К числа с прямых выходов первого. регистра 6 через второй коммутатор 7 поступает .на входы вто14

4. рого слагаемого сумматора 8. В сумматоре 8 осуществляется суммирование кодов двух чисел: кода Кр (0000) с ,выходов буферного регистра 9 и кода ,К (0001). При этом на вход переноса младшего разряда сумматора 8 подан

,сигнал логического "0" а инверсного выхода триггера 5. В результате сум,мирования на выходах суммы сумматора 8 формируется код К числа, равный К2 . К Ю К,где Ю означает сумми рование кодов по модулю два. Суммирование в сумматоре 8 осуществляется параллельно, т.е. суммируются все раз" ряды чисел, одновременно.

Следовательно, на входах буферного регистра 9 присутствует код К чис- . ла, которому соответствует последовательность импульсов с выхода генератора 2 импульсных последовательностей, сдвинутая вправо относительно имеющейся последовательности по дискрету

То„ . Одновременно с этим управляющий . сигнал с первой управляющей клеммы 12 фазовращателя поступает на второй вход блока 4 временной привязки и далее на S-вход второго триггера 14 и переводит его в единичное состояние, при котором сигнал логичесткой "1" с его прямого выхода поступает на первый вход элемента И 15, В результате этого элемент И 15 по первому входу оказывается открытым. Если в это. время на первый вход блока 4 временной привязки подан сигнал с выхода первого коммутатора 3, то данный сигнал по ступает через элемент И 15 на вход .линии 16 задержки и далее на sxop, ус тановки в нулевое состояние первого регистра 6 и на управляющий вход бу-, ..ферного регистра 9. Величина задерж- ки, линии 16 задержки определяется .временем переходных процессов в первом регистре 6, втором коммутаторе 7 и сумматоре 8, т,е, ф1 - c < +3 . +с

1 и "8 задержка по вре мени каждого блока с соответствующим ему номером. Наличие разрешающего потенциала от второго триггера 14 на первом входе элемента И 15 обеспечи- вает прохождение первого импульса по-следовательности через линию 16 за держки на управляющий вход буферного регистра 9. Фронт этого юшульса че реэ время i<< осуществляет запись ко- да сумматора 8 в буферный регистр 9, .Благодаря этому на выход фазовращателя начинает проходить последователь140651 ность с последующего выхода генератора 2 импульсных последовательностей, одновременно с этим фронт сигнала с выхода линии 16 задержки поступает на R-вход .второго триггера 14, вход установки в нулевое состояние первого регистра 6 и устанавливает их в исходное состояние. При этом элемент И 15 блока 4 временной привязки вновь закрывается по первому вхо; ду и импульсы установленной импульсной последовательности на выход блока 4 и не поступают. Следовательно, на клемму )O фазовращателя поступает последовательность импульсов, временное положение импульсов которой оказывается сдвинутым на Тд, относительно предыдущей последовательности. Если на входы "Код числа сдвигов" подан код К„ числа, численное значение которого соответствует номеру импульсной последовательности с (N-1)-ro выхода генератора 2 импульсных последовательностей, то при управляющем сиг- 25 ,нале Плюс" на второй управляющей клемме 13 фазовращателя, на его вы,ход проходит последовательность,вре менное положеиие импульсов которой сдвинуто относительно предыдущей по- gp следовательности на временной интер,,вал, равный (N-l)T« . При этом время ! перестройки, как и в предыдущем слу1 чае, не превышает 2Т«с момента поступления первого импульса предыдущей

:последовательности.

Пусть на первую управляющую клем,му 12 фазовращателя поступает управ ляющий сигнал, сопровождаемый кодом (1001) .на группе входов "Kog числа 40 сдвигов" (на выходных клеммах 11.1..11.п) и сигналом "Минус" (логичес-кий "0") на второй клемме 13 фазовращателя. По фронту сигнала на клеиМе 12 код К> записывается в первый ре-4> гистр 6. Одновременно с этим триггер 5 устанавливается в нулевое состояние, при котором на его прямом и инверсном выходах устанавливаются сигналы логического "0" и логичес50 кой "1" соответственно. Эти сигналы поступают на первый и второй управляющие входы второго коммутатора 7.

Помимо этого сигнал логической "l c инверсного выхода триггера 5 поступаЕт на вход переноса младшего разряда второго слагаемого сумматора 8. B результате этого второй коммутатор 7

Подключает к соответствующим входам

4 6 второго слагаемого сумматора 8 инверсные выходы первого регистра 6, т.е. на входы второго слагаемого сумматора 8 подается обратный код Кз (0110).

Этот код суммируется с учетом единицы в младшем разряде второго слагаемого с кодом числа, например равного

К, значение которого определяет фазовый сдвиг в фазовращателе до момента перестройки. На выходах суммы сумматора 8 формируется код К числа, равный К = К 9 К<. Пусть коду К числа с выходов буферного регистра 9 соответствует число 15 (a двоичном коде 11)1), а коду числа сдвига K>— число 9 (в двоичном коде 1001 ).Обратный код Кз числа равен числу 6 (в двоичном коде 0110). С учетом единицы в младшем разряде второго слагаемого сумматора 8 дополнительный код

К 4 „равен числу 7 (в двоичном коде

011 1). В результате суммирования кодов К д,„и К на выходах суммы сумматора 8 имеем )111 Ю Oill = 0110, что соответствует числу 6, т.е. на выходах суммы будет сформирован код числа К, которому соответствует импульсная последовательность с шестого выхода генератора 2 импульсных последовательностей. Данная импульсная последовательность относительно имеющейся последовательности на клемме )0 фазовращателя будет сдвинута на девять дискрет Т«влево, Одновременно с этим сигнал с первой управляющей клеммы 12 фазовращателя поступает на второй вход блока 4 временной привязки и далее на R"âõîä второго триггера 14 и переводит его в единичное состояние. Сигнал логической "1" с прямого выхода второго триггера 14 поступает на первый вход элемента И )5 и открывает его по первому входу,При поступлении первого импульса имеющейся последовательности на клемме 10 фазовращателя на первый вход блока 4 временной привязки данный импульс через элемент И )5 поступает на вход линии 16 задержки. Через Г„ с выхода линии )6 задержки импульс поступает на управляющий вход буферного регистра 9, на вход установки первого ре-, гистра 6 в исходное (нулевое) состояние и на R-вход второго триггера 14 в блоке 4 временной привязки. Фронт этого импульса осуществляет запись кода. К на выходах суммы сумматора 8 в буферный регистр 9, и устанавлива1406514

10 l5

25

45

55

7 ет первый регистр Ь и второй триггер 14 в исходное (нулевое) состояние. В результате записи кода К в буферный регистр 9 на его выходах устанавливается новое значение кода управления на управляющих входах первого коммутатора 3. Коммутатор 3 в соответствии со значением кода К подключает шестой выход генератора 2 импульсных последовательностей к клемме 10 фаэовращателя. Следовательно, на клемму 10 фазонращателя поступает последовательность импульсов, временное положение импульсов которой сдвинуто на 9Т дискрет влево относительно предыдущей последовательности.

Вместе с тем второй триггер 14 совместно с элементом И 15 запрещает прохождение импульсов вновь устанав ливаемой импульсной последовательности на вход блока 4 временной привязки. Время отработки фазового сдвига фаэовращателем, как и в вышерассмотренных случаях, не превышает временной интервал 2Т с момента поступления первого импульса предыдущей последовательности.

Таким образом, во всех случаях обработка фазового сдвига заканчивается переходом на нужную последовательность генератора 2 импульсных после- . довательностей,.однако этот переход осуществляется за временной интервал, не превышающий 2ТО> при любом значении кода числа сдвигов на входных клеммах 11.1-11.п фазовращателя.

Второй коммутатор 7 работает следующим образом.

Первые входы элементов 2V. — 2ИЛИ-НЕ

17.1-17.п образуют первую группу входов, а третьи входы — вторую группу входов второго. коммутатора 7. Пусть на первый и второй управляющие входы второго коммутатора 7 поданы соответственно высокий и низкий, уровни напряжения, т,е, сигналы логическая "l" и логический "0". В этом случае на втором и четвертом входах каждого

i-го (i = 1,...n) элемента 17.1

2И-2ИЛИ-liE будут присутствовать соответственно сигналы логической "1" и логического "0, Сигнал логического

"0" на втором входе каждого i-ro элемента 2И-2ИЛИ-НЕ 17,i запрещает прохождение на его выход высокого уровня напряжения (логической "1 "), поступающего на первый вход элемента

2И»2ИЛИ-НЕ 17,i, В то же нремя сигнал логической "1"

У поступающий на четвертый вход i-ro элемента, разрешает прохождение сиг" нала логической "1", поданного на третий нход логического элемента

2И-2ИЛИ-НЕ 17.i. Следовательно, на выходы элементов 17,1-17.п будут проходить сигналы логической ")" и логического "0" со второй группы входон. Если на второй и первый управляющие входы коммутатора поданы сигналы соответственно логического "0" и логической "1", то к выходам коммутатора будет подключена лервая группа входов. Элементы 2И-НЕ 18.1-18.п формируют сигналы,, которые поступают соотнетственно на первую или вторую группу входов второго коммутатора 7, Таким образом, в зависимости от сигналов логической "1" и логического

"0" на первом и втором управляющих входах второго коммутатора 7 к выходам последнего будет подключена первам или вторая группа входов.

Повышение быстродействия фазовращателя достигается тем, что требуемый фазовый сдвиг, определяемый численным значением кода числа сдвигов относительно идущей импульсной последовательности, которой соответствует код предыдущего фазового сдвига, устанавливается не путем последовательного подключения следующих или предыдущих импульсных последовательностей, в зависимости от направления сдвига, а путем параллельного суммирования по модулю два н сумматоре 8 кода требуемого числа сдвигов и кода,определяющего предыдущий фазовый сдвиг.

Таким образом, время, необходимое для установки фазового сдвига на одну дискрету, в фазонращателе в 1,5 раза меньше, чем в базовом объекте (прототипе), а время установки макмимального фазового сдвига на два порядка меньше, чем в базовом объекте.

С ростом значения N установка фазовых сдвигов в фазовращателе осуществляется значительно быстрее по сравнению с базовым объектом. Кроме того, время установки фазовых сдвигов не эависит от величины устанавливаемого фа» зоного сдвига и определяется только быстродействием используемых элементов, т.е. только выбором элементной базы, 9 ) 406

Формула изобретения

1, фаэовращатель, содержащий по следовательно соединенные опорный генератор и генератор импульсных по" следовательностей, выходы которого соединены с информационными входами первого. коммутатора, выход которого

:соединен с выходной клеммой фазовращателя и с первым входом блока вре" ,менной привязки второй вход которого соединен с входной клеммой перво.. го управляющего сигнала фаэовращате ля, первый триггер, второй коммута- 15 ,тор и буферный регистр, о т л и . ч а .ю шийся тем, что с целью по вышения быстродействия установки раз-! личных значений фазовых сдвигов, в

:него дополнительно введены первый 20

;регистр и сумматор, выходы которого соединены с соответствующими информа- ционными входами буферного регистра,, выходы которого соединены поразрядно с соответствующими управляющими вхо- 25 дами первого коммутатора и первыми информационными входами сумматора, lsторые информационные входы которого соединены с соответствующими выхода и второго коммутатора, первые и вто- ЗО рые входы которого соединены соответственно с прямыми и инверсными выхода и первого регистра, информационные входы которого соединены с входными

Клеммами входов "Код числа сдвигов", 5l 4 l0 выход блока временной привязки соединен с объединенными управляющими входами буферного регистра и входом установки первого регистра в нулевое состояние, тактовый вход которого со-. единен с объединенными вторым входом блока временой привязки и тактовым входом первого триггера, информационный вход которого соединен с клеммой второго управляющего сигнала фазовращателя, при этом прямой и инверсный выходы первого триггера соединены со" ответственно с первым и вторым управляющими входами второго коммутатора, причем вход переноса младшего разря-да вторых информационных входов сумматора соединен с инверсным выходом первого триггера.

2. Фазовращатель по п.1, о т л ич а ю шийся тем, что блок временной привязки содержит второй триг-. гер, элемент И и линию задержки, вы ход которой соединен с выходом блока временной привязки, при этом прямой выход второго триггера соединен с первым входом элемента И, второй вход которого соединен с первым входом блока временной привязки, а выход элементас И соединен с входом линии задержки, S-вход второго триггера сое" динен с вторым входом блока временной привязки, а R-вход - c выходом линии задержкн.

1406514

2&р

° Ф

° °

Составитель N.Êàòàíoíà

Техред Л. Сердюкова

Корректор Н.Король

Редактор А.Ревин

Тираж 772 Подписное

ВИИИПИ Государственного комитета СССР по делам изобретений и открытий

113035, Москва, Ж-35, Раушская наб., д. 4/5

Заказ 3188/41

Производственно-полиграфическое предприятие, г. Ужгород, ул. Проектная, 4

Фазовращатель Фазовращатель Фазовращатель Фазовращатель Фазовращатель Фазовращатель Фазовращатель 

 

Похожие патенты:

Изобретение относится к электроизмерительной технике, а именно к устройствам для определения систематической фазовой погрешности амплифазометров

Изобретение относится к области электроизмерительной техники

Изобретение относится к электрорадиоизмерительной технике

Изобретение относится к радиотехнике и может быть использовано в цифровых фазометрах компенсационного типа

Изобретение относится к измерительной технике

Изобретение относится к радиотехнике и предназначено для получения регулируемого фазового сдвига периодических сигналов типа меандр в пределах 5-170

Изобретение относится к радиотехнике и может быть использовано при формировании гетеродинных, опорных и контрольных сигналов в фазовых радиотехнических системах

Изобретение относится к информационно-измерительной технике и может быть использовано в устройствах фазовых измерений

Изобретение относится к области электрических измерений, в частности к устройствам, задающим между двумя гармоническими сигналами фазовый сдвиг от О до 360&deg; в широком диапазоне частот

Изобретение относится к электроизмерительной технике и может быть использовано в прецизионных метрологических приборах, а также в счетчиках реактивной электрической энергии в электросетях

Фазометр // 2225988

Изобретение относится к электротехнике и может быть использовано при создании и эксплуатации электродвигателей с короткозамкнутыми роторами

Изобретение относится к области радиоизмерений, в частности к измерениям фазового сдвига сигнала в присутствии помех, и может быть использовано при разработке систем поиска и измерения параметров сигналов, искаженных интенсивными помехами, например, при разработке навигационных систем или помехоустойчивых систем передачи информации

Изобретение относится к информационно-преобразовательной технике и может быть использовано как по прямому назначению, так и при реализации функциональных преобразователей, угломерных приборов и т.п

Изобретение относится к железнодорожной автоматике и телемеханике и может быть использовано для измерения сопротивления изоляции рельсовой линии

Изобретение относится к радиоизмерительной технике и может быть использовано для измерения кратности и угла сдвига фаз между гармоническими колебаниями кратных частот

Изобретение относится к измерительной технике и может быть использовано для помехоустойчивого измерения фазы сигнала в различных радиотехнических устройствах и системах или как самостоятельное устройство

Изобретение относится к области измерительной техники и может быть использовано для помехоустойчивого измерения параметров сигнала в различных радиотехнических устройствах и системах, например, в цифровой аппаратуре потребителя глобальных навигационных спутниковых систем
Наверх