Цифровой фазовращатель

 

Изобретение относится к импульсной технике и может быть использовано в устройствах контролй, управления , синхронизации путем прецизионного изменения фазы сигнала. Целью изобретения является повьшение точности цифрового фазовращателя. Фазовращатель содержит задаюпщй генератор 1, линию 2 задержки, например, с ше

СОЮЗ СОВЕТСКИХ

СОЦИАЛИСТИЧЕСНИХ

РЕСПУБЛИК

А1 (19) (11) <ю4 НОЗН170

a(i

CHg.".;; a

ОПИСАНИЕ ИЗОБРЕТЕНИЯ

К АВТОРСКОМУ СВИДЕТЕЛЬСТВУ

ГОСУДАРСТВЕННЫЙ HOMHTET СССР

ПО ДЕЛАМ ИЗОБРЕТЕНИЙ И ОТКРЫТИЙ (21) 4117801/24-21 (22) 10.06.86 (46) 07.02,88. Бюл. № 5 (72) С.А.Новожилов (53) 621.324(088 ° 8) (56) Смолов В,Б. Функциональные преобразователи информации,-Л.: Энергоиздат, 1981.

Авторское свидетельство СССР

¹ 824118, кл. G 04 F 5/14, 1979. (54) ЦИФРОВОЙ ФАЗОВРАЩАТЕЛЪ (57) Изобретение относится к импульсной технике и может быть использовано в устройствах контроля, управления, синхронизации путем прецизионного изменения фазы сигнала. Целью изобретения является повьппение точности цифрового фазовращателя. Фазовращатель содержит задающий генератор

1, линию 2 задержки, например, с ше1372593 стью отводами, первую группу элемен- аналогично его работе при положительтон И 3.1-3.N, элемент ИЛИ 4, RS- ном сдвиге фаз. При этом с шин 17,1триггер 5, элементы И 6, 7 и 16, коль и 17.2 знака RS-триггер 5 устанавлиценой регистр 8 сдвига, блок 9 управ- нается н состояние "1". При отрицаления, Х дополнительных групп элемен- тельном сдвиге обеспечивается обраттов И 10,1-10.М, сумматор 11, цифро- ный по отношению к положительному аналоговый преобразователь 12, фильтр сдвигу порядок перехода от одной фор13, N формирователей 14,1-14,N, гене- мы ступенчатого сигнала на выходе циратор 15 сдвига, шины 17 ° 1 — 17.2 уп-. фроаналогового преобразователя 12 .к равления "Знак" и выходную шину 18 другой его форме, т.е. происходит фазовращателя. Работа блока 9 при от- соответствующий сдвиг фазы. 1 з.п. рицательном сдвиге фаз происходит ф-лы, 5 ил.

Изобретение относится к импульсной технике и предназначено для устройств контроля, управления и синхронизации путем прецизионного изменения фазы сигнала.

Целью изобретения является повышение точности цифрового фаэонращателя.

На фиг. 1 представлена структурная схема цифрового фазовращателя; на фиг, 2 — структурная схема одного иэ 10

N формирователей; на фиг. 3 — структурная схема коммутатора формирователя; на фиг. 4 — структурная схема блока управления; на фиг. 5 . — временные диаграммы работы фазовращателя ° 15

Цифровой фазовращатель (фиг ° 1) содержит задающий генератор 1, линию

2 задержки, например, с шестью отводами, первую группу элементов И 3.13.N, элемент ИЛИ 4, RS-триггер 5, 20 первый и второй элементы И 6 и 7, кольцевой регистр 8 сдвига, блок 9 управления, N дополнительных групп элементов И 10.1 — 10.N, сумматор 11, цифроаналоговый преобразователь 12, 25 фильтр 13, N формирователей 14.1—

14.N генератор 15 сдвигов и третий элемент И 16 шины 17.1, 17.2 управления "Знак" и выходную шину 18.

S u R-входы RS-триггера 5 соот- 30 ветственно соединены с шинами 17.1, 17.2, а прямой и инверсный его выходы — соответственно с первыми входами элементов И 6 и 7, вторые входы которых объединены и соединены с выхо дом элемента HJIH 4, а выходы соответственно соединены с входами прямого н обратного сдвига регистра 8. Первые входы элементов И З.i, 10,(2i-1), 10.2i в каждой i-й из N первых и дополнительных групп элементов И объединены и подключены к -му отводу линии 2 задержки, вторые входы каждого элемента И 3. i, 10(21-1), 10 ° 2i соединены с разрядными выходами соответствующего формирователя 14.1, а выходы элементов И 3.1-3. N, 10.110.2 соединены с входами разрядов соответствующих операндов сумматора

11. Выходы сумматора 11 соединены с входами цифроаналогового преобразователя 12, выход которого через фильтр 13 подключен к выходной шине

18 фаэовращателя. Выходы регистра 8 соединены с информационными входами формирователей 14.1-14.М, тактовые входы которых объединены и соединены с выходом элемента И 16 и со счетным входом блока 9, управляющие входы формирователей 14.1 — 14,N объединены и соединены с прямым выходом RSтриггера 5, а выходы переноса и заема переноса соединены с входами элемента ИЛИ 4. Входы элемента И 16 соответственно соединены с выходами генератора 15 и блока 9.

Каждый из N формирователей 14,i кодов амплитуд импульсон (фиг.2) содержит элементы И 19 и 20, коммутатор 21 и реверсивный счетчик 22, выходы разрядов, переноса и заема переноса которого соответственно соединены с выходами разрядов, переноса и заема переноса формирователя 14.i.

Первые входы элементов И 19 и 20 соответственно соединены с первым и вторым информационными нходами формирователя 14,i их вторые входы объ1372593

10 единены и соединены с тактовым входом формирователя 14,i, а выходы соответственно соединены с первым и вто. рым информационными входами коммутатора 21. Управляющий вход коммутато- 5 ра 21 соединен с управляющим входом формирователя 14. i а выходы прямого и обратного счета — соответственно с входами прямого и обратного счета счетчика 22.

Коммутатор 21 (фиг. 3) содержит элементы И 23-26, элементы ИЛИ 27 и

28 и элемент HE 29. Первые входы элементов И 23, 25 и элементов И 24, 26 попарно объединены и соответственно соединены с первым и вторым информационными входами коммутатора 21, вторые входы элементов И 24, 25 объединены и соединены непосредственно с управляющим входом коммутатора 21 и через элемент НЕ 29 с вторыми входами элементов И 23, 26. Выходы элементов И 23, 24 через элемент ИЛИ 27 соединены с выходом прямого счета коммутатора 21, а выходы элементов

И 25, 26 через элемент ИЛИ 28 соединены с выходом обратного счета коммутатора 21, Блок 9 управления (фиг. 4) содержит счетчик 30 и PS-триггер 31, S- 30 вход RS-триггера 31 соединен с входом

"Ввод поправки" (на фиг. 1 не показан), К-вход — с выходом счетчика

30, а выход — с выходом блока 9, Счетный вход счетчика 30 соединен со счетным входом блока 9, а входы параллельной записи информации счетчика 30 (на фиг. 1 не показаны) соединены с входами записи количества дискретов сдвига. 40

На фиг. 5 представлены временные диаграммы работы устройства: а-е соответственно на 1-6-м отводах линии 2 задержки; ж-л — ступенчатый сигнал на выходе цифроаналогового пре- 45 образователя 12 при различных значениях начальной фазы первой гармоники данного ступенчатого сигнала.

Устройство работает следующим образом.

После включения с входов "Kog uc1l ходного состояния и импульс записи (фиг.1) в регистр 8 в первый разряд записывается логическая "1", а в остальные — "0".

В данном примере реализации устройства при N-6 в счетчик 22, например, формирователей 14. 1 и 14.2 записываются коды, соответствующие максимальным для данных счетчиков двоичным числам, Поскольку в данном примере счетчик:: 22 трехразрядные (число разрядов счетчиков должно быть равно числу элементов И З.i, 10,j, 10„(+

+1), подключенных к одному из отводов линии 2 задержки, то в них следует записать двоичные числа 111. В счетчики 22 остальных формирователей записываются 000. Установка указанных состояний счетчиков производится, например, с входов "Уст.ill", "Уст. 000" (на фиг. 2 эти входы не показаны), Для установки в исходное, нулевое состояние RS-триггера 31 блока 9 в счетчик 30 блока 9 с входа "Запись количества дискретов сдвига" (фиг.4) записывается максимальное для данного счетчика двоичное число. В этом случае на выходе счетчика 30 возникает импульс переполнения, устанавливающий

RS-триггер 31 в "0".

Импульсы со скважностью 2 с выхода генератора 1 поступают с отводов линии 2 задержки (фиг. 5а-е) на входы элементов И 3 . 1-3 . 6, 10. 1-10. 12 .

При этом только элементы И 3,1, 10,1, 10.2 и 3.2, 10.3, 10.4, вторые входы которых соединены с выходами разрядов счетчиков 22 формирователей 14 ° 1—

14.2, открыты, остальные элементы

И 3 i 1О.i закрыты. Таким образом, во время наличия импульса на первом отводе линии 2 задержки, на входы всех трех разрядов первого операнда сумматора 11 поступают логические

"1", что соответствует числу 7, а во время наличия импульса на втором отводе линии 2 задержки логическая

"1" поступает на входы всех трех разрядов второго операнда сумматора 11.

На входы всех других операндов сумматора 11 поступают логические "0", что соответствует числу "0".

На выходах сумматора 11 периоди- чески оказывается сумма чисел на его входах, преобразуемая цифроаналоговым преобразователем 12 в аналоговый сигнал, уровень которого (фиг. 5ж) в соответствии с значением суммы на выходах сумматоров 11 равен 7 в интервалах 6 о„и 6 0», равен 14 в интервале g О и равен 0 в интерва1 В ле 940, Примем значение начальной фазы первой гармоники сформированного указанным образом ступенчатого сигнала (фиг. 5ж) за ноль. Первая гармоника с нулевым в данном случае

1372593 значением начальной фазы выделяется фильтром 13 и поступает на шину 18.

Для сдвига фазы в счетчик 30 блока 9 записывается требуемое число дискретов сдвига в обратном коде. С шины 17.1 и 17.2 знака RS-триггер 5 устанавливается в состояние, например "1", соответствующее знаку плюс вводимого фазового сдвига. На S-вход

RS-триггера 31 блока 9 (фиг ° 4) с входа "Ввод дискретов сдвига" подают логическую "1". Данный триггер переходит в "1" и открывает элемент И 16, который начинает пропускать импульсы с выхода генератора 15 на свой выход.

С выхода элемента И 16 импульсы сдвига поступают на тактовые входы формирователей 14.1-14.6, Поскольку в первом разряде регистра 8 записана

"1", а в остальных — "0", то оказывается открыт элемент И 19 только одного формирователя 14.3. Через данный открытый элемент импульсы сдвига поступают на первый информационный

25 вход коммутатора 21 формирователя

14.3, на управляющий вход которого с прямого выхода RS-триггера 5 поступает логический "0" определяющий знак вводимого фазового сдвига. В зависимости от значения потенциала на выходе RS-триггера 5 коммутаторы

21 формирователей 14.1-14.6 подключают импульсы с их первого и второго информационных входов соответственно на нходы прямого или обратного счета 35 счетчиков 22 тех же формирователей.

В данном случае импульсы поступают на вход прямого счета счетчика 22 формирователя 14.3.

После поступления первого импульса сдвига с выхода блока 9 счетчик

22 формиронателя 14.3 переходит в состояние, соответствующее числу

001 и на его разрядах логическая "1" с младшего разряда формирователя

14.3 открывает элемент И 3.3.

Во время наличия импульса на третьем отводе линии 2 задержки (фиг.5в) на вход младшего разряда еще одного операнда сумматора 11 поступает ло- 50 гическая "1". На выходе цифроаналогового преобразователя 12 оказывается периодический сигнал, амплитуда которого соответствует показанной на фиг. 5з. Первая гармоника указан- 55 ного сигнала имеет новое значение начальной фазы, т.е. происходит сдвиг фазы.

Следующие импульсы фазы переводят счетчик 22 формирователя 14.3 в его очередные состояния, при этом растет значение числа, поступающего на выход соответствующего операнда сумматора 11 во время наличия импульса на третьем отводе линии 2 задержки, до максимального значения, в данном примере равного 111, что соответствует десятичному числу 7. Это приводит к изменению формы ступенчатого сигнала на выходе цифроаналогового преобразователя 12, а следовательно, и к изменению начальной фазы его первой гармоники. Так, при состоянии

111 счетчика 22 формирователя 14.3 на вход указанного операнда сумматора 11 во время наличия импульса на третьем отводе линии задержки 2 поступает число "111" и форма сигнала на выходе цифроаналогового преобразователя 12 соответствует показанной на фиг. 5 и, Первая гармоника указанного сигнала при этом имеет начальную фазу, равную 30 о

Во время наличия следующего импульса сдвига (который в данной реализации устройства выбран отрицательным) на ныходе "P" переноса счетчика 22 формирователя 14.3 формируется импульс. Данный импульс через элемент ИЛИ 4 и открытый с прямого выхода RS-триггера 5 элемент И 6 поступает на вход прямого сдвига регистра 8. Записанная в регистре 8 логическая "1" переходит из первого во второй его разряд, Теперь следующий импульс сдвига с выхода блока 9 проходит на вход обратного счета счетчика 22 формирователя 14.1 через открытый логической "1" со второго разряда регистра 8 элемент И 20 и коммутатор 21 того же формирователя. Благодаря этому счетчик 22 формирователя 14. 1 переходит из состояния 111 в состояние 110, что соответствует десятичному числу б. На выходе цифроаналогового преобразователя 12 формируется ступенчатый сигнал (фиг. 5к).

Фазовый сдвиг первой гармоники данного сигнала теперь превьппает 30

При дальнейшем поступлении импульсов сдвига происходит уменьшение кода на выходах разрядов счетчика

22 формиронателя 14.1, сопровождающее дальнейшим фазоным сдвигом первой гармоники ступенчатого сигнала

7 1372593 8

30 на выходе цифроаналогового преобразователя 12 (фиг. 5л).

Как только код указанного счетчика 22 уменьшится до 000, аналогично импульсу переполнения формируется импульс "W" заема переноса, который так же, как и ранее импульс переноса сдвигает логическую "1" из второго в следующий, третий разряд регистра

8, Теперь с каждым следующим импульсом сдвига начинает возрастать код счетчика 22 формирователя 14.4 и, начиная с этого момента, работа устройства эквивалентна ранее рассмотрен15 ной, в определенном порядке меняются только открываемые элементы И 19, 20 формирователей 14,1-14.6, Во время нахождения логической "1", в последнем, в данном примере двенадцатом разряде регистра 8 с каждым счетным импульсом уменьшается код счетчика

22 формирователя 14,6 от 111 через

110 и так далее до 001.

После того, как счетчик 22 формирователя 14.6 окажется в своем следу25 ющем, нулевом состоянии, на его выходе W заема переноса формируется импульс, который через элементы ИЛИ

4 и И 6 поступает на вход прямого сдвига регистра 8. Благодаря тому, что регистр 8 выбран кольцевым, происходит переход логической "1" из его последнего разряда в первый, Теперь состояние устройства ничем не отличается от его состояния после вклю- 35 чения устройства, форма ступенчатого сигнала на выходе цифроаналогового преобразователя 12 идентична фиг. 5ж, но с тех пор произошел сдвиг начальной фазы на 360 о

При продолжении поступления импульса сдвига с выхода блока 9 работа устройства полностью повторяется.

Каждый импульс сдвига с выхода элемента И 16 кроме тактовых входов 45 формирователей 14. посту-пает на вход обратного счета счетчика 30 блока 9,увели ивая содержимое счетчика на единицу.

После переполнения счетчика на его выходе формируется импульс, возвращающий RS-триггер 31 в состояние "0", Элемент И 16 закрывается логическим

"0 с выхода данного RS-триггера, и дальнейшее поступление импульсов на формирователи 14,i прекращается. Тем самым обеспечивается сдвиг .фазы на заданное число дискретов.

Работа блока 9 при сдвиге фазы в минус происходит аналогично его работе при сдвиге фазы в плюс, при этом с шин 17.1, 17.2 знака RS-триггер 5 устанавливается в состояние "1".При сдвиге в минус обеспечивается обратный по отношению к сдвигу в плюс порядок перехода от одной формы ступенчатого сигнала на выходе цифро аналогового преобразователя 12 к другой его форме, т.е. происходит соответствующий сдвиг фазы, формула и з о б р е т е н и я

1; Цифровой фазовращатель, содержащий задающий генератор, выход которого через соответствующие отводы линии задержки с N отводами соединен с первыми входами первой группы элементов И, элемент ИЛИ, RS-триггер, первый и второй элементы И, кольцевой регистр сдвига и блок управления, К- и S-входы RS-триггера соединены с шинами управления, а прямой и инверсный выходы RS-триггера соответственно соединены с первыми входами первого и второго элементов И, выходы которых соединены соответственно с входами прямого и обратного сдвига кольцевого регистра сдвига, выход элемента ИЛИ соединен с вторым входом второго элемента И, о т л и ч аю шийся тем, что, с целью повышения точности, в него введены N дополнительных групп элементов И, сумматор, цифроаналоговый преобразователь, фильтр, N формирователей, генератор сдвигов и третий элемент И, причем первые входы в каждой дополнительной группе элементов И объединены и подключены к соответствующим отводам линии задержки, вторые входы дополнительных групп элементов И и первой группы элементов И соединены с разрядными выходами соответствующих N формирователей, а выходы всех групп элементов И соединены с входами разрядов сумматора соответственно, выходы которого соединены с входами цифроаналогового преобразователя, выход которого через фильтр подключен к выходной шине фазовращателя, выходы кольцевого регистра сдвига соединены с соответствующими информационными входами N формирователей, тактовые входы которых объединены и соединены с выходом третьего элемента И и со счетным входом блока управления, управляющие входы N формирователей объединены и соеди13725 ереноса

Выходы ра рядо6

Опшроа мационн

-ема а

Такта

Упра

Фиг. 2

Пербыд цнрорг ацтнныц оход

Управляю брод го cvema дторой мационны га cvema нены с прямым выходом RS-триггера, а выходы переноса и заема переноса соединены с входами элемента ИЛИ, выход которого соединен с вторым входом первого элемента И, первый и второй входы третьего элемента И соединены с выходами генератора сдвигов и блока управления соответственно.

2. Фазовращатель по п. 1, о тл и ч а ю шийся тем, что каждый из N формирователей содержит два элемента И, коммутатор и реверсивный счетчик, выходы разрядов переполнения и заема переноса которого

15 соответственно соединены с выходами

Пербый онрармаццанныц Ькод 1У

93 1О разрядов переполнения и заема переноса формирователя, первые входы

1 элементов И соответственно соединены с первым и вторым информационными входами формирователя, их вторые входы объединены и соединены с тактовым входом формирователя, а выходы соответственно соединены с первым и вторым информационными входами коммутатора, управляющий вход которого соединен с управляющим входом формирователя, а выходы прямого и обратного счета — соответственно с входами прямого и обратного счета реверсивного счетчика.

1372593

Ечетнь йцг. 4

Редактор Н, Гулько

Заказ 498 54 Тиразк 928

ВНИИЛИ Государственного комитета СССР по делам изобретений и открытий

113035, Москва, -35 Раушская наб., д. 4/5

Подписное

Производственно-полиграфическое предприятие, r. Ужгород, ул. Проектная, 4

ЗППИСЬ количест дискретно ооад дискретод сооига

/4 ж 7

Р тф

3 7 о

7/

I4

7 д

М

4 к а

I

Составитель А. Перфильев

Техред М.Дидык Корректор О. Кундрик

Цифровой фазовращатель Цифровой фазовращатель Цифровой фазовращатель Цифровой фазовращатель Цифровой фазовращатель Цифровой фазовращатель Цифровой фазовращатель 

 

Похожие патенты:

Изобретение относится к импульсной технике и позволяет уменьшить потребляемую мощность и увеличить надежность работы фазовращателя
Наверх